Project Name | Repository | Last Update | Language | Dev. Status | License |
---|---|---|---|---|---|
ARITHMETIC CORE | |||||
arithmetic core ant: NoLicense: LGPLDescriptionAudio Codec(ADPCM 1-Bit)The code is ready for Altera Cyclone-II DE1 Starter board and it is tested, you can modify codes and use them in any project.Core Description:Sampling Frequency: 44100HzChannels: StereoBit-rate: 1 Bit Per Sample(So it is: 44.1 * 2 = 88.2kbps)Compression Ratio: 16:1VHDL code consists:1-bit ADPCM Decoder(x2), I2S Driver(x1), I2C Driver(x1), Flash Memory Driver(x1), Keyboard Driver(x1), LED Bar(x1), Volume and Config Engine(x1).Codec(Encoder/Decoder) is available in Win32 application that you can use it to encode PCM RAW wave files, then burn
1 bit adpcm codec
|
code | May 22, 2011 | VHDL | Stable | LGPL |
arithmetic core lphaAdditional info:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionRTL Verilog code to perform Two Dimensional Fast Hartley Transform (2D-FHT) for 8x8 points.Presented algorithm is FHT with decimation in frequency domain.Main FeaturesHigh Clock SpeedLow Latency(97 clock cycles)Low Slice CountSingle Clock Cycle per sample operationFully synchronous core with positive edge triggeringFlexible core control with regard to input data widthDiscrete Hartley Transform is used in a wide variety of signal processing applications such as filtering, convolution, correlation, compression and so on
2d fht
|
code | Jul 25, 2011 | Verilog | Alpha | LGPL |
arithmetic core Design done,Specification doneWishBone Compliant: NoLicense: GPLDescriptionA 32-bit parallel and highly pipelined Cyclic Redundancy Code (CRC) generator is presented. The design can handle 5 different channels at an input rate of 2Gbps each (the total output throughput is 5x4Gbps.)The generated CRCs are compatible with the 32-bit Ethernet standards. The circuit has been implemented with standard cells in a 0.35Micron standard CMOS process using the properties of Galois Fields and has been conceived as a "free" IP.Features- 5 independent channels @ 4Gbps each- Works (simulations) with a standar
5x4gbps crc generator designed with standard cells
|
code | Aug 8, 2013 | VHDL | Stable | GPL |
arithmetic core ment status:PlanningAdditional info:WishBone Compliant: NoLicense: LGPL
8-bit piepelined processor
|
code | Mar 30, 2015 | C/C++ | Planning | LGPL |
arithmetic core n done,FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThis is 8-bit microprocessor with 5 instructions. It is based on 8080 architecture. This architecture called SAP for Simple-As-Possible computer. It very useful design which introduces most of the basic and fundamental ideas behind computer operation.This design could be used for instruction classes for undergraduate classes or specific VHDL classes. This processor is based on the 8080 architecture, therefore, it could be upgraded step by step to integrate further facilities. It is very exciting challenge for the students to do so.
8-bit up
|
code | Apr 11, 2012 | VHDL | Stable | GPL |
arithmetic core done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThis is crypto core with AMBA support APB based on datasheet fomAES_SPECIf you liked our work is want to help contribute to the future progress of others who have seen help us by donating.GITHUB : git clone https://github.com/red0bear/AES128GLADIC is a group of people working with integrated circuits in Latin America that have done some work with integrated circuits or participated in training in the part of the digital flow. We hope that our IPs are also vital in any way the proposal for those who want to use i
aes128
|
code | Mar 9, 2015 | Verilog | Stable | LGPL |
arithmetic core n doneWishBone Compliant: NoLicense: LGPLDescriptionA fast (single-cycle) base-2 antilog function.Need an electronic design solution? Visithttp://www.cantares.on.ca/Doesn't run quite as fast as my Log code: 166MHz, vs. 250MHz for the log. Registering the input would bring that up. Takes about the same resources as the log.To do a single-cycle square-root, first take the log. Then, divide that result by 2 (shift), and take the antilog. Tada...If you use this, please write and tell me about it!
anti-logarithm square-root base-2 single-cycle
|
code | Jan 28, 2011 | Verilog | Stable | LGPL |
arithmetic core mpliant: NoLicense:DescriptionThese cores provide a simple means of converting between binary and BCD in hardware. Written in Verilog, with parameters for the input and output widths, these simple cores illustrate the use of functions in Verilog for performing operations that are not easy to do any other way in a fully parameterized (scalable) block of logic.There are two conversions: binary_to_bcd and bcd_to_binary. These operate serially, requiring one clock per binary bit used in the conversion.The method used for the conversion from base 2 to base 10 is what I call a "binary coded decim
binary to bcd conversions with led display driver
|
code | Dec 23, 2009 | Unknow | Stable | Unknown |
arithmetic core info:WishBone Compliant: NoLicense: LGPLDescriptionThis project implements a parameterized Reed Solomon decoder for use in OFDM wireless systems.FeaturesDecodes full length (n = 255, t = 16) and shortened Reed Solomon encoded data blocks.Status- Complete version submitted
bluespec systemverilog reed solomon decoder
|
code | Dec 20, 2009 | Bluespe | Planning | LGPL |
arithmetic core Compliant: NoLicense: LGPL
btc-fpga-miner-open source fpga bitcoin miner
|
code | May 15, 2014 | VHDL | Alpha | LGPL |
arithmetic core hBone Compliant: NoLicense: LGPLDescriptionThis IP implements the CAVLC parsing process in ITU-T H.264 (05/2003)Features- Compatible with ITU-T H.264 (05/2003), but it do not calculate nC and store TotalCoeff,you need to add a nC_decoder outside this core.- New structure for run_before decoder, the core doesn't save Runs in flip-flops anddoesn't need the run_combine process, this feature reduces both cycle and resource.- this core has a simple interface- 9 cycles per cavlc block on average(including P frames)- Fully synchronous design, Fully synthesisableStatusDocumentationSynthesis resultsPus
cavlc decoder
|
code | Nov 20, 2012 | Verilog | Beta | LGPL |
arithmetic core e,FPGA proven,Specification doneWishBone Compliant: NoLicense: BSDIntroductionA cellular automata (CA) is a discrete model that consists of a grid (1D, 2D, 3D ) with objects called cells. Each cell can be in one of a given set of states (on and off, different colours etc). Each cell has a set of cells in close proximity (neighbours). Given the current internal state of a cell, the states of the neighbour cells and a given set of update rules the next state of a cell can be determined.The ca_prng IP-core implements a 1D binary cellular automata with wrap around at the edges (i.e. a ring). The d
cellular automata prng
|
code | Dec 20, 2009 | Verilog | Stable | BSD |
arithmetic core ant: NoLicense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. Seewww.confluent.orgfor more info.Cordics (COordinate Rotation DIgital Computers) perform arbitrary phase rotations of complex vectors and are often used to calculate trigonometric functions and vector magnitudes.FeaturesEach file is stand-alone and represents a specific configuration.The 4 parameters are:- Rotation or Vector Mode- Vector Precision- Angle Precision- Number of Cordic StagesAll designs
cf cordic
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
arithmetic core : NoLicense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluentfor more info.The Fast Fourier Transform converts time or spacial information into the frequency domain and is one of the most popular DSP algorithms.FeaturesThe FFT architecture is pipelined on a rank basis; each rank hasits own butterfly and ranks are isolated from each other usingmemory interleavers. This FFT can perform calculations oncontinuous streaming data (one data set right after a
cf fft
|
code | May 18, 2012 | Unknow | Stable | Unknown |
arithmetic core ant: NoLicense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluentfor more info.FeaturesThe floating point representation follows the IEEE-754 bit format:{SignBit, Exponent, Mantissa}Each file is stand-alone and represents a specific configuration.The 3 configuration parameters are:- Combinatorial or Pipelined ('c' or 'p')- Exponent Precision- Mantissa PrecisionNote the total width = 1 + Exponent Precision + Mantissa Precision.For pipeline configurations, pi
cf floating point multiplier
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
arithmetic core pecification doneWishBone Compliant: NoLicense: LGPLDefinitionA Complex arithmetic library for arithmetic operations is needed in many signal processing applications. This project will present a complex operations library for SystemC based designs. Some of the operations like multiplication, division and square root are based on Cordic algorithms in order to reduce the resources needed for implementation. Eventhough the library is based on the complex library of the Agility Compiler Software but nearly all of the operations were modified and improved. The operations included within this projec
complex arithmetic operations
|
code | Dec 20, 2009 | SystemC | Alpha | LGPL |
arithmetic core atus:PlanningAdditional info:WishBone Compliant: NoLicense: LGPLDescriptionGaussian Pseudo-random Number Generator is a fix-point entity implemented with VHDL, used for generating complex Gaussian pseudo-random numbers. The generator can be further divided into two stages. The first stage is a uniform pseudo-random number generator called Mersenne Twister, and the second is a conversion stage. Mersenne Twister provides uniform pseudo-random number sequence with an astronomical period of 2^19937-1 up to 32-bit accuracy, using only 624 words working area [1]. A conversion model was built upon Me
complex gaussian pseudo-random number generator
|
code | Dec 21, 2011 | VHDL | Planning | LGPL |
arithmetic core mpliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
complex operations ise for nios ii
|
code | Sep 14, 2010 | VHDL | Alpha | LGPL |
arithmetic core gn done,FPGA provenWishBone Compliant: NoLicense:DescriptionA 100% behavioral implementation of a cordic core. The core is highly configurable through `defines. A testbench is included. See the included manual for details. Source and user manual availablehereStatus- Tested in hardware
configurable cordic core in verilog
|
code | Aug 12, 2011 | Verilog | Unknow | Unknown |
arithmetic core fo:WishBone Compliant: NoLicense: LGPLdownload
configurable crc core
|
code | Sep 9, 2010 | Verilog | Alpha | LGPL |
arithmetic core ign done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis is a behavioral module for parallel scrambler/descrambler.There are RTL scrambler modules available, the purpose of this project is to built a code that is easier to understand and more flexible for reconfiguration. The code is synthesize-able, and should not cost more than RTL modules.
configurable parallel scrambler
|
code | Jul 31, 2014 | VHDL | Alpha | LGPL |
arithmetic core GA provenWishBone Compliant: NoLicense: GPLDescriptionThe CORDIC algorithm is an iterative algorithm to evaluate many mathematical functions, such as trigonometrically functions, hyperbolic functions and planar rotations.Core DescriptionAs the name suggests the CORDIC algorithm was developed for rotating coordinates, a piece of hardware for doing real-time navigational computations in the 1950's. The CORDIC uses a sequence like successive approximation to reach its results. The nice part is it does this by adding/subtracting and shifting only.Suppose we want to rotate a point(X,Y) by an an
cordic core
|
code | Jan 8, 2013 | VHDL | Stable | GPL |
arithmetic core pliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
crcahb
|
code | Mar 6, 2015 | Verilog | Alpha | LGPL |
arithmetic core pliant: NoLicense: LGPLDescriptionThis core is a low latency divider that works by caching reciprocal values, then using a multiply to perform the divide rather than the usual divide operation. On first encountering a divide operation the reciprocal of the divisor is calculated, this takes the same amount of time as a normal divide. The next time the same divide is encountered the pre-calculated reciprocal is used. Reciprocals are stored in a small cache similar to a processor data cache.a/b is the same as a * 1/bIn many cases the divisor 'b' remains the same within a loop. 1/b can be calculat
cr_div-cached reciprocal divider
|
code | Feb 12, 2013 | Verilog | Alpha | LGPL |
arithmetic core icense:DescriptionRecent advances in communications and networking technologies have made it possible that many applications use digital videos such as teleconferencing and multimedia communications. These applications require a very large bit-rate if being handled without compression. Most video compression standards such as HDTV, H.261, JPEG and MPEG use Discrete Cosine Transform (DCT) as a standard transform-coding scheme.Discrete Cosine Transform is decomposing the signal into weighted sums of cosine harmonics; unlike DCT, Discrete Fourier Transform decomposes the signal into weighted sums
dct-discrete cosine transformer
|
code | Jul 26, 2013 | Unknow | Beta | Unknown |
arithmetic core proven,Specification doneWishBone Compliant: NoLicense:DescriptionNEW: 12 bit input MDCT version created by Emrah Yuce has been added to project downloads.Parallel synthesizable implementation of 2D DCT in VHDL. Currently works on 8 bit input data using 12 bit DCT coefficients (12-bit DCT output). Multiplier-less design, parallel distributed arithmetic with butterfly computation used instead. Implementation done as row-column decomposition, two 1D DCT units and transpose matrix between them (double buffered as ping-pong buffer for performance). Latency (time between first 8 bit input data is
discrete cosine transform core
|
code | Mar 7, 2009 | VHDL | Stable | Unknown |
arithmetic core oneWishBone Compliant: NoLicense:Features- The unit is designed to be synchronous to one global clock. All registers are updated on the rising edge of the clock.- All registers can be reset with one global reset.- The multiply operation is broken up to take advantage of the 25 x 18 multiply blocks in the Virtex5 DSP48E slices. The 25 x 18 multiply twos complement block will perform a 24 x 17 unsigned multiply, so it takes 9 DSP48E slices to perform the 53 x 53 bit multiply required to multiply two double-precision floating point numbers.- fpu_double.v is the top-level module. The input sign
double_fpu_verilog
|
code | Dec 20, 2009 | Verilog | Alpha | Unknown |
arithmetic core nfo:WishBone Compliant: NoLicense: LGPLDescriptionIntroduction:From my thesis: Low-Density Parity Check (LDPC) coding is a form of error coding introduced by Gallager that can achieve performance close to the Shannon limit, exceeding the performance of Turbo codes. The coding scheme was introduced in the early 1960€™s, but has gained favor recently due to excellent performance and lack of patent rights. Several recent standards include optional or mandatory LDPC coding methods; among these is the second generation Digital Video Broadcasting standard for satellite applications (DVB
dvb-s2 ldpc decoder
|
code | Dec 3, 2010 | Verilog | Planning | LGPL |
arithmetic core WishBone Compliant: NoLicense: GPLComments# ECPU 0.1.alpha# ==============## Background# ========# Resurrected university project originally written in VHDL.# Converted to Verilog by hand and fixed bugs.## Modifications made in verilog post-conversion:# - New barrel shifter# - Reviewed opcode list# - Enhanced testbench to allow for random stimulus (verilog only tb)# - Tested using Icarus## Currently checking for synthesis:# - Passes synthesis checks using "veriwell ... +synopsys"## Features# ========# * 15 working opcodes/functions :# cADD_AB# cINC_A# cINC_B# cSUB_AB# cCMP_
ecpu_alu
|
code | Dec 20, 2009 | Verilog | Beta | GPL |
arithmetic core GA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe Elliptic Curve Group core is for computing the addition of two elements in the elliptic curve group, and the addition of $c$ identical elements in the elliptic curve group.The elliptic curve is super-singular $E:y^2=x^3-x+1$ in affine coordinates defined over a Galois field $GF(3^m)$, $m=97$, whose irreducible polynomial is $x^97+x^12+2$.The elliptic curve group is the set of solutions $(x,y)$ over $GF(3^m)$ to the equation of $E$, together with an additional point at infinity, denoted $O$. An element in the elliptic
elliptic curve group
|
code | Apr 18, 2012 | Verilog | Stable | LGPL |
arithmetic core bleAdditional info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThis project was started in order to create fixed point (Q format) arithmetic modules in verilog.What was created was a parameterized (specify size (N) and number of fractional bits (Q)) implementation to make configuring for different projects simple.This implementation uses the following data structure:| sign (0+/1-) | whole number | fractional bits || ____1 bit___ | _N-Q-1 bits_ | _____Q bits____ |The following modules were created:-Twos Complement-Addition (Combinational)-Multiplicati
fixed point arithmetic modules
|
code | Oct 31, 2013 | Verilog | Stable | LGPL |
arithmetic core dditional info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLSynopsisVerilog Fixed point math libraryOriginal work by Sam Skalicky, originally foundhereExtended, updated, and heavily commented by Tom BurkeThis library includes the basic math functions for the Verilog Language,for implementation on FPGAs.All units have been simulated and synthesized for Xilinx Spartan 3E devicesusing the Xilinx ISE WebPack tools v14.7These math routines use a signed magnitude Q,N format, where N is the totalnumber of bits used, and Q is the number of fractional bits used. For ins
fixed point math library for verilog
|
code | Oct 30, 2014 | Verilog | Mature | LGPL |
arithmetic core one Compliant: NoLicense: LGPLDescriptionVHDL project for a Square Root Unit (SRU) for 32-bit fixed point data. The unit implements the Goldschmidt recursion algorithm. It is pipelined with Initiation Interval of 1 clock cycle, and it perform the computation of a single square root with a latency of 3 clock cycles.The design has been tested on 45nm ASIC library.
fixed point square root recursive algorithm
|
code | Mar 16, 2015 | VHDL | Alpha | LGPL |
arithmetic core rovenWishBone Compliant: NoLicense: GPLDescriptionQuadratic_func is a fully pipelined quadratic polynomial that computes the relation y = ax^2 + bx + c. On each rising-edge of the clock (when en is high), the coefficients and input x term are sampled at the function inputs. The result has a latency of 3 clock cycles. All inputs to the function are 8-bit signed fractions, with the generic parameter 'fw' specifying the number of fraction bits. The output result is a 24-bit signed fraction. If integer arithmetic is preferred, then the parameter fw should be set to 0. For larger bit-widths, t
fixed-point quadratic polynomial
|
code | Jul 26, 2011 | VHDL | Stable | GPL |
arithmetic core PGA provenWishBone Compliant: NoLicense:DescriptionThis Floating Point units were developed as part of the HAVOC project. The Design schematics and related files can be browsed at the FPU repository, or downloaded as a separate file from the FP units home page.The FP Adder is a single-precision, IEEE-754 compilant, signed adder/substractor. It includes both single-cycle and 6-stage pipelined designs. The design is fully synthesizable and has been tested in a Xilinx Virtex-II XC2V3000 FPGA, occupying 385 CLBs and with a theoretical maximum operating frecuency of 6MHz for the single-cycle desig
floating point adder and multiplier
|
code | Feb 23, 2012 | VHDL | Stable | Unknown |
arithmetic core iant: NoLicense: LGPLDescriptionVHDL implementation of a fast space- and resource-efficient logarithm approximation unit for FPGAs.The unit is an implementation of the ICSILog algorithm.
floating-point logarithm unit
|
code | Dec 21, 2010 | VHDL | Stable | LGPL |
arithmetic core ne Compliant: NoLicense: LGPLDescriptionThis implementation project proposes a practical implementation of a Median Filter architecture focused in low-cost FPGA devices. The architecture is based on the research presented in the following paper:http://islab.soe.uoguelph.ca/sareibi/TEACHING_dr/ENG6530_RCS_html_dr/outline_W2014/docs/PAPER_REVIEW_dr/2013_dr/GRAD_dr/FPGAbasedMedianFilter.pdfSorry, but we do not have time to develop a proper architecture document. However the paper presents a brief and at the same time complete description for this implementation design.
fpga-based median filter
|
code | Mar 21, 2014 | Verilog | Stable | LGPL |
arithmetic core hBone Compliant: NoLicense:DescriptionThis is a 32-bit floating point unit (FPU), which I developed in a project within the Vienna University of Technology. It can do arithmetic operations on floating point numbers. The FPU complies fully with the IEEE 754 Standard. The FPU was tested and simulated in hardware and software.Features- FPU supports the following arithmetic operations:- Add- Subtract- Multiply- Divide- Square Root- For each operation the following rounding modes are supported:- Round to nearest even- Round to zero- Round up- Round down- Pipelined to achieve high operating frequenc
fpu
|
code | Sep 9, 2014 | VHDL | Stable | Unknown |
arithmetic core WishBone Compliant: NoLicense:DescriptionIEEE-754 compliant double-precision floating point unit. 4 operations (addition, subtraction, multiplication, division) are supported, as are the 4 rounding modes (nearest, 0, +inf, -inf). This unit also supports denormalized numbers, which is rare because most floating point units treat denormalized numbers as zero. The unit can run at clock frequencies up to 185 MHz for a Virtex5 target device.Features- The unit is designed to be synchronous to one global clock. All registers are updated on the rising edge of the clock.- All registers can be reset wi
fpu double vhdl
|
code | Oct 11, 2014 | VHDL | Alpha | Unknown |
arithmetic core Compliant: NoLicense: LGPLDescriptionFT816 floating point accelerator consists of two ninety-six bit floating point accumulators between which floating point or fixed point operations occur. Basic operations include ADD, SUB, MUL, DIV, FIX2FLT, FLT2FIX, SWAP, NEG and ABS. The floating point accumulators operate as a memory mapped device placed by default between $FEA200 and $FEA2FF. The floating point accelerator communicates through a byte wide data port and twenty-four bit address port. It was intended for use primarily with smaller byte oriented cpu€™s like the 65xx, 68xx series
ft816float-floating point accelerator
|
code | Dec 9, 2014 | Verilog | Alpha | LGPL |
arithmetic core GA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe Gaussian Noise Generator core generates white Gaussian noise of standard normal distribution, which can be used to measure BER to extremely low BER levels (~10-15). The core uses a 64-bit combined Tausworthe generator and an approximation of the inverse normal cumulative distribution function, which obtains a PDF that is Gaussian to up to 9.1ƒ.The core was designed using synthesizable Verilog code and can be delivered as a soft-IP targeted for any FPGA device and ASIC technology. C/MATLAB models and correspondin
gaussian noise generator
|
code | Feb 1, 2015 | Verilog | Stable | LGPL |
arithmetic core ,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project implements the Galois Linear-feedback Shift Register (LFSR) in VHDL, and can be used for applications such as PRBS generation & synchronisation, CRC computations, scrambling & descrambling, cryptography, etc. This design is very generic / parameterisable, in the sense that it is intelligent enough to be able to "create" (or generate) the LFSR structure based on user input (a VHDL generic). In thelfsrentity (galois-lfsr.vhdl), there is a generic namedtaps, which allows you to input a vector of tap locations for the L
generic galois lfsr
|
code | Mar 4, 2014 | VHDL | Beta | LGPL |
arithmetic core one,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project is developed at Reconfigurable Computer Laboratory - FRM - UTN,and allows simulate and synthesize the Gregory-Newton extrapolation algorithm,using integer numbers.
gnextrapolator
|
code | Aug 14, 2012 | VHDL | Beta | LGPL |
arithmetic core t: NoLicense:DescriptionThis is a collection of synthesizeable hardware dividers. Different types of dividers are available. All dividers are fully pipelined and provide a 2N by N division every clock cycle. All designs are fully parameteriseable and synthesizeable.The dividers take two inputs Z(2N-bit divident) and D(N-bit divisor), and return Q(N-bit quotient), S(N-bit remainder), div0(division by zero), and ovf(overflow).A sample implementation of a 32/16 bit divider with a remainder output runs at about 82MHz in a Spartan2e100 -6 device and occupies 1132 LUTs (about 47%) and 1736 registers
hardware division units
|
code | Sep 28, 2011 | Unknow | Stable | Unknown |
arithmetic core Compliant: NoLicense: LGPLReferences1. Yamamoto H., Mori S. Performance of a binary quantized all digital phase-locked loop with a new class of sequential filter//IEEE Trans. 1978. V. Com-26, 1. P. 35-45.2. Cessna J.R., Levy D.M. Phase noise and transient times for a binary quantized digital phase-locked loop in which Gaussian noise//IEEE Trans. 1972. V. Com-20, 2. P. 94-104.3. Yukawa J., Mori S. A binary quantized digital phase-locked loop//IECE. 1973. Vol. 56-A, 12. P. 79-85.
hardware implementation of binary fully digital ph
|
code | Feb 18, 2013 | Verilog | Stable | LGPL |
arithmetic core ompliant: NoLicense: LGPL
hardware load balancer for multi-stage software ro
|
code | Sep 27, 2011 | VHDL | Beta | LGPL |
arithmetic core iant: NoLicense:DescriptionHierarchical Carry Save Algorithm (HCSA) is a modification of well known adder algorithm. Comes as VHDL IP core, shows good timing and small area requirements.The Generic HCSA ALU VHDL IP Core presents an example of HCSA methodology.HCSA adder and ALU with HCSA implemented as VHDL soft IP cores. Algorithm implemetation bases on recursion method.Basic Idea: Every Bit of the Result is calculated twice simultaneously : 1. As if there IS NO carry from LSB ( less significant bit )S(i) = A(i) + B(i) + 0;2. As if these IS a carry from LSBS(i) = A(i) + B(i)
hcsa adder and generic alu based on hcsa
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
arithmetic core mpliant: NoLicense: BSDDescriptionThis project implements a sorter able to sort a continuous stream of data, consisting of records labeled with "sort keys".Sorter sorts one record every two clock cycles.Sorter is based on the heap sort algorithm. Efficient implementation is assured thanks to the use of internal dual portRAM in FPGA.The required size of heap is equal to the expected maximum distance between unsorted records in the data stream.Detailed descriptionThe sorter implemented in this project is designed for sorting of stream of constant length records.The main supposed application area
heap sorter for fpga
|
code | Oct 15, 2012 | VHDL | Beta | BSD |
arithmetic core mpliant: NoLicense:DescriptionBefore You readThis is a brief overview of the article about the series of multiplication algorithms. For comparison and estimation of proposed algorithms please refer to the full article...OverviewOperation of multiplication is very important in microelectronics. Each modern microprocessor has this operation within its instruction set, and advanced microprocessors have special multiplication units, that perform multiplication during 1 synchronization period(cycle). Especially valuable multiplication is in DSP processors, where it is practically main operation. P
hierarchical integer multiplier unit
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
arithmetic core e Compliant: NoLicense: OthersDescriptionHuffman code is used in the most streaming applications. I have written a Huffman decoder for jpeg pictures. For audio or other data streams the code have to adapt.The state machine is controlled by the jpeg baseline markers.Jpeg header is parsing for quantization and Huffman tables. It is re-programmable in each picture header. The implementation of dynamic Huffman table is very practical. If no information in the header is found the tables from the last picture are used again.In the stream the stuffing bits are removed and recognized the codeword a
huffman decoder
|
code | Nov 14, 2011 | VHDL | Alpha | Others |
arithmetic core shBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
lcd162b behavior model
|
code | Sep 18, 2013 | VHDL | Planning | LGPL |
arithmetic core neWishBone Compliant: NoLicense: LGPLDescriptionThe lfsr core is a random number generator based on linear feedback shift register(LFSR).The sequence generated has the maximum length possible.The period of sequence generated by a n-bit LFSR is equal to 2^n-1.The tap values used are supposed to create maximum length sequence.The size of LFSR is a generic parameter.The core is designed in a way such that the seed of the process can be set from outside.An output enable pin make the output bit to zero's when driven low.A testbench code is provided along with core.You can use that to verify the res
lfsr-random number generator
|
code | Dec 23, 2012 | VHDL | Alpha | LGPL |
arithmetic core neWishBone Compliant: NoLicense: LGPLDescriptionA fast (single-cycle) base-2 log function, based on the description athttp://www.cantares.on.ca/extras.htmlNeed an electronic design solution? Visithttp://www.cantares.on.ca/First uploaded version is in Verilog, with pipelining to maximize the clock frequency. An example implementation: It takes less than 2% of the smallest CycloneIII, and runs at 250MHz on the IOs. Probably even higher if internal-only. It even fits in a mid-sized CPLD!Second version strips outs the pipelining registers. Simpler if you don't need the throughput. This one clocks
logarithm function base-2 single-cycle
|
code | Jan 28, 2011 | Verilog | Stable | LGPL |
arithmetic core :Design done,FPGA provenWishBone Compliant: YesLicense: GPLDescriptionThis IP core allows lossless data compression based on the Lempel-Ziv-Ross-Williams-1 algorithm. Its focus is on high throughput (of uncompressed data) at the expense of an somewhat lower compression ratio. One byte of uncompressed data can be processed at every second clockcycle. A software decoder (decompressor) written in java is included.The core is fully pipelined to allow high clock speeds. 66MHz can easily be achieved on a Spartan6FPGA. This results in a maximum compression throughput of almost 32MBytes/sec.It uses a
lzrw1 compressor core
|
code | Dec 19, 2013 | VHDL | Stable | GPL |
arithmetic core tional info:Design doneWishBone Compliant: NoLicense: LGPLDescriptionthe aim of this design to build combinatorial digital circuit to find in fast parallel the maximum or the minimum of set of given set data where number of entries = N, can be configured at compile time and also the width = M of each entry.the design idea based on binary tree structure, where there are Log_2(N) levels in the tree, the best values of N whereLog_2(N) = integer numbers like N = ( 4, 8, 16, 32, 64,...) where Log_2(N) = {2, 3, 4, 5, ...}. but to support general values of N like 29 the design will be Log_2(29)+1 wh
maximum/minimum binary tree finder
|
code | Oct 5, 2010 | VHDL | Beta | LGPL |
arithmetic core ompliant: NoLicense: LGPLDescriptionThe MESI InterSection Controller (ISC) is a coherence system controller. It supports theMESI coherence protocolfor a cache data consistency. It synchronizes the memory requests of the system masters. It enables to keep the consistency of the data in the memory and in the local caches.This project provides the following elements:A synthesizable controller core with a complete environment of verification, synthesis, and documentation.Instructions for integrating MESI_ISC to a system.A definition and requirements of the system masters.For a detailed descripti
mesi coherency intersection controller
|
code | Mar 17, 2013 | Verilog | Alpha | LGPL |
arithmetic core Bone Compliant: NoLicense:DescriptionDescription of project..
microprocessor za208
|
code | Jun 23, 2011 | VHDL | Planning | Unknown |
arithmetic core Compliant: NoLicense: LGPLDescriptionA quick & simple mod 3 calculator(only just combinational logic). the input 8-bit data is divided by 3. and the output is only 0, 1, or 2.I use XilinxISE10.1 Synthesis the file, the speed can reach 113MHz.If anyone want make it more faster, you can insert some registers and make it pipeline.
mod3_calc
|
code | Oct 11, 2010 | Verilog | Alpha | LGPL |
arithmetic core nt: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
modbus implementation in vhdl
|
code | Feb 24, 2011 | VHDL | Beta | LGPL |
arithmetic core Design done,FPGA provenWishBone Compliant: NoLicense: LGPLDescription// number sorting device, sequential, 2*N clocks for N// linear buffer implementation// sequential, stable, can be partly readed, decreasing order// reset is not implemented// see sort_stack_algorithm.png to catch the idea// number sorting, tree-like implementation, sequential,// energy efficient (theoreticaly)// see sort_tree_algorithm.png to catch the ideaArticle(Russian):http://habrahabr.ru/post/222287/
numbert sort device on
|
code | May 10, 2014 | Verilog | Beta | LGPL |
arithmetic core liant: NoLicense: GPLDescriptionFree and open source double precision Floating Point Unit (FPU).TheopenFPU64currently features:- double precision- Addition/Subtraction- Multiplication- rounding (to nearest even)- subnormals/denormals- validated against IEEE754- Compatible with Avalon Bus- Wishbone interface will be provided soonNew algorithms can be added easily, just modify the code markedwith ADD_ALGORITHMS_HEREEverything marked with FUTURE is not yet implemented,but already added for easier transition.Tested on CycloneII and Cyclone III FPGAs.If any questions arise, don't hesitate to contac
openfpu64
|
code | May 7, 2010 | VHDL | Beta | GPL |
arithmetic core l info:Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe ORSoC Graphics Accelerator can:Draw Lines.Draw Filled or Textured Rectangles.Draw Filled, Interpolated or Textured Triangles.Draw Filled Quadratic Bzier Curves.Write Text with Bitmap Fonts or Vector Fonts.Draw Alphablended shapes.Draw Colorkeyed images.Draw 3D meshes with support for depth buffer.Transform points (scaling & rotation of triangles and vector fonts).The ORSoC GFX have support for the following formats:Support for .TTF fonts.Support for .OBJ files for 3D meshes.Support for .bmp,
orsoc graphics accelerator
|
code | May 5, 2013 | Verilog | Beta | LGPL |
arithmetic core Compliant: NoLicense: BSDDescriptionThis project implements a simple parametrized FFT engine.The user may define length of FFT (fftlen equal to a power of 2), and may also define the format of numbers used.To change the format of numbers, the user must change definition of the icpx_number (internal complex number) type defined in the icpx_pkg.vhd file.It is also necessary to adjust the conversion functions defined in this file.The user must also modify the butterfly.vhd file, so that the entity "butterfly" performs calculations on the user defined type.There are two implementations available.I
parametrized fft engine
|
code | Mar 24, 2015 | VHDL | Beta | BSD |
arithmetic core e Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
pid controler
|
code | Sep 20, 2010 | VHDL | Stable | LGPL |
arithmetic core shBone Compliant: NoLicense: GPLDescriptionVHDL Implementation of a basic Pipeline MIPS processor. It has a translator of MIPS assembler code and implement the division algorithm restoring.
pipeline mips in vhdl
|
code | May 29, 2010 | VHDL | Stable | GPL |
arithmetic core e Compliant: NoLicense: LGPLDescriptionC code for generating a stand-alone population counter with user-defined size (number of input bits) and latency (number of clock cycles) in VHDL.
population counter generator
|
code | Jul 12, 2011 | C/C++ | Stable | LGPL |
arithmetic core pliant: NoLicense:DescriptionBefore You readThis is a brief overview of the article about the series of multiplication algorithms. For comparison and estimation of proposed algorithms please refer to the full article...OverviewOperation of multiplication is very important in microelectronics. Each modern microprocessor has this operation within its instruction set, and advanced microprocessors have special multiplication units, that perform multiplication during 1 synchronization period(cycle). Especially valuable multiplication is in DSP processors, where it is practically main operation. Pe
pyramid integer multiplier unit
|
code | Jul 17, 2003 | Unknow | Stable | Unknown |
arithmetic core NoLicense:DescriptionThis is synthesizeable radix 4 complex fft processor. Input data width and points are configurable. Output data width = Input data width + 2. Some necessary limited and shift have been done at every butterfly.A sample implementation of a 1024 point 12 bit FFT runs at about 97MHz in a Spartan2e100 -6 device and occupies 1,271 LUTs (about 52%) and 1,144 registers (about 47%) of the device.Features- Data width configurable- Point configurable- Input data during data output- Simulation result has compare with Matlab resultStatus- Design is available in VHDL from OpenCores CVS
radix 4 complex fft
|
code | Sep 30, 2010 | Unknow | Stable | Unknown |
arithmetic core liant: NoLicense: LGPLDescriptionRay Tracing : A rendering technique that challenges anyone who is interested in Computer Science, Computer Graphics and Digital Systems in General.The Main Goal of this project is to create an engine to Render 3D models. This engine is made over HW/SW. What Im planning to do is to make a RTL generic enough to plug it along with a processor, by means of a bus or any connector the developer wishes.So the RTL's published on this page will describe the HW part of the engine. I don't know, YET, if I'm allowed to upload SW source code. If I am, for sure I will, but
ray tracing arithmetic engine
|
code | Jul 25, 2011 | VHDL | Mature | LGPL |
arithmetic core iant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
reconfigurable hardware platform
|
code | Mar 29, 2012 | Other | Planning | LGPL |
arithmetic core one,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project implements a parameterized Reed Solomon decoder for use in OFDM wireless systems.Source code provided in C++ (trunk/cpp-source) and Bluespec(trunk/bluespec-source).
reed-solomon decoder
|
code | Jun 16, 2010 | C/C++ | Stable | LGPL |
arithmetic core nfo:Design done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionA divider that calculates the quotient and remainder of a division operation in multiple clock cycles. The dividend, divisor, quotient and remainder are all 32-bit signed integers. By taking the advantage of a shifter that can shift more than one bit (up to 9 bits) during each cycle of computation, it takes less cycles to finish than a radix-2 nonrestoring divider.
signed integer divider
|
code | Mar 8, 2013 | Verilog | Alpha | LGPL |
arithmetic core ification doneWishBone Compliant: NoLicense: BSDDescriptionSine and cosine table that can be synthesized. Pure VHDL, no other tools orsilicon vendor macros. Pipeline delay can be selected from combinatorialto 10 stages at compile time via a generic.Phase input and sin/cos output widths are automatically determined by theconnected bus. 16 bit phase/18 bit amplitude runs at 230 MHz in Spartan6-3without any optimization efforts. (Just setting 250 MHz as the goal)Also features a programmable pipeline register entity for most basic VHDL types.Pipeline delay can be set from 0 to MAXINT clocksAlso a
sineandcosinetable
|
code | Feb 26, 2011 | VHDL | Beta | BSD |
arithmetic core Design done,Specification doneWishBone Compliant: NoLicense:Before You ReadThis is a brief overview of the article aboutsingle-clockunsigned integer division algorithm. For comparison and estimation of proposed algorithms please refer to the full article...OverviewNow two division algorithms are wide spread in computing: restoring and non-restoring algorithms. They consider that both algorithms may be used in sequential calculation scheme, when one digit of the result is achieved during one clock. However there are no principle objections against getting all digits of the quotient and the rema
single clock unsigned division algorithm
|
code | Sep 28, 2011 | VHDL | Stable | Unknown |
arithmetic core hBone Compliant: NoLicense: LGPLDescriptionThe DLX processor is an academic processor described in in John L. Hennessy and David A. Patterson's Computer Architecture: A Quantitative Approach. Our project aims to offer a decent structural VHDL description of the processor. Moreover, advanced computer architecture features, power management, debug unit, memory management unit and OCP will be added to the project. The final goal of the project is to provide a multi-processor system-on-chip which can support VLSI research or simple embedded application.
superscalar version of dlx
|
code | Oct 31, 2012 | VHDL | Planning | LGPL |
arithmetic core mpliant: NoLicense: LGPLDescriptionA custom instruction for approximation of the hyperbolic tangent function tanh(x) with a max. error of 0.1
tanh approximation custom instruction for nios ii
|
code | Mar 21, 2011 | VHDL | Alpha | LGPL |
arithmetic core e,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe Tate Bilinear Pairing core is specially designed for running Tate bilinear pairing algorithm for hyperelliptic curve $y^2=x^3-x+1$ defined over $GF(3^m)$, where $m=97$ and $GF(3^m)$ is defined by $x^97+x^12+2$.Generally speaking, The Tate bilinear pairing algorithm is a transformation that takes two points on an elliptic curve and outputs a nonzero element in the extension field $GF(3^{6m})$. Details of the algorithm is in the document.The core is written in Verilog 2001, and it is carefully optimized for FPGA. F
tate bilinear pairing
|
code | Apr 18, 2012 | Verilog | Stable | LGPL |
arithmetic core ovenWishBone Compliant: NoLicense: OthersDescriptionThis IP core provides resource efficient ternary adders, i.e., adders with three inputs performing s = x + y + z, for the Altera and Xilinx platforms.Resource efficient means that they need exactly the same resources on modern FPGAs as two-input adders, but are slightly slower.A complete description can be found in the ternary adder documentation:http://opencores.org/usercontent,doc,1365162582Note that the used method for the Xilinx ternary is patented (US patent no 7,274,211). Hence, only private, research or non-commercial use is allowed wi
ternary 3-input adder
|
code | Jan 14, 2015 | VHDL | Stable | Others |
arithmetic core nal info:Design done,Specification doneWishBone Compliant: NoLicense: OthersDescriptionTiny Tate Bilinear Pairing core is for calculating Tate bilinear pairing.In fact it is a special type of Tate bilinear pairing called reduced $\eta_T$ pairing.Its features are:* super-singular elliptic curve E:y^2=x^3-x+1* the field is the Galois field GF(3^m),m=97 or 593* the irreducible polynomial is x^97+x^12+2 or x^593+x^112+2* the group size is 151 bits or 911 bits* vendor independent code* very low hardware cost (‰0.2 US dollar) if m=97* released under Apache License v2.0Document & Specifica
tiny tate bilinear pairing
|
code | Oct 13, 2012 | Verilog | Stable | Others |
arithmetic core phaAdditional info:ASIC proven,Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThis core takes unsigned value as degrees(input) and gives the corresponding value in IEEE-754 double (output).This core can be easily configured degrees(inputs) bit width can be changed to any number of bits.It only takes 10 clock cycles to complete one operation.
trigonometric functions degrees in double fpu
|
code | Jul 3, 2013 | Verilog | Alpha | LGPL |
arithmetic core WishBone Compliant: NoLicense: GPL
true matrix 3x3 multiplier
|
code | Feb 26, 2007 | VHDL | Stable | GPL |
arithmetic core iant: NoLicense: OthersDescriptionkvcordic is a collection of files comprising an implementation of a universal CORDIC algorithm (rotation/vectoring direction, circular/linear/hyperbolic mode) high-level synthesis benchmark by Nikolaos Kavvadias.The design is a universal CORDIC IP core supporting all directions (ROTATION, VECTORING) and modes (CIRCULAR, LINEAR, HYPERBOLIC). The I/O interface is similar to e.g. the CORDIC IP generated by Xilinx Core Generator). It provides three data inputs (xin,yin, zin) and three data outputs (xout,yout, zout) as well as the direction and mode control inputs.
universal multi-function cordic
|
code | Mar 8, 2014 | VHDL | Beta | Others |
arithmetic core mpliant: NoLicense:DescriptionThe serial_divide_uu is a Verilog coded module that performs binary division. It is fully parameterized, and works in a serial fashion. The number of clock cycles required to complete a divide operation is equal to the number of bits in the quotient plus one.This module has been tested and debugged in actual hardware on a Xilinx XC2S200E FPGA. It was used to divide pulse width by period in a pulse-width-modulation measurement application (ADXL202E 2-axis MEMS accelerometers.)The widths of the signals are configurable by parameters, as follows:M_PP = Bit width o
unsigned serial divider
|
code | Mar 10, 2013 | Unknow | Stable | Unknown |
arithmetic core Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionA versatile counter that can be defined as a binary, gray or LFSR counter. Usage include baudrate generator, address generator for FIFO and much more.As a user you edit a define file to make the counter fit your project demands. You the generate a tailored counter. The performance and area can hereby be optimezed for the given applicationPros and cons with different types of counterLFSRextremely low area usagehigh performanceone cycle shorter count cycle compared to binary versionstypically used for interva
versatile counter
|
code | Jul 14, 2010 | Verilog | Mature | LGPL |
arithmetic core ne Compliant: NoLicense: GPLFeatures- direct traceback option.- self test automation- support any popular convolution code.- throughput and area of decoder are scalable.- in place state metric storage.- parameterized modules.- something else.Status- Place a VHDL/Verilog version for K=7 rate=1/2 Poly=(91,121 in decimal) TracebackDepth=64 decoder for DownloadIt's a zip file, rename to .zipor look up the http://viterbi-gen.sourceforge.net example section.- Version 1.3- Place a TD-SCDMA version of K=9 rate=1/2 decoder fordownload.- Place a VHDL version of K=9 rate=1/2 decoder fordownload, in the r
viterbi hdl code generator
|
code | Mar 25, 2015 | Other | Beta | GPL |
arithmetic core nal info:Design done,Specification doneWishBone Compliant: NoLicense: LGPL
viterbi_tx_rx
|
code | Feb 23, 2012 | Verilog | Mature | LGPL |
arithmetic core on doneWishBone Compliant: YesLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
wishbone protocol to axi4 protocol
|
code | Mar 31, 2015 | Verilog | Alpha | LGPL |
arithmetic core fo:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
xilinx virtex floating point
|
code | Sep 19, 2013 | VHDL | Alpha | LGPL |
arithmetic core ication doneWishBone Compliant: NoLicense: LGPLDescriptionCORDIC is the acronym for COordinate Rotation DIgital Computer and allows a hardware efficient calculation of various functions like - atan, sin, cos - atanh, sinh, cosh, - division, multiplication.Hardware efficient means, that only shifting, additions and substractions in combination with table-lookup is required. This makes it suitable for a realization in digital hardware. Good introductions can be found in [1][2][3][4].The following six CORDIC modes are supported:- trigonometric rotation- trigonometric vectoring- linear rotat
yac-yet another cordic core
|
code | Mar 30, 2014 | VHDL | Alpha | LGPL |
PROTOTYPE BOARD | |||||
prototype board e Compliant: NoLicense: GPLDescriptionThis is a small board with the low-cost ACEX FPGA with some SRAM and Flash. It is designed as a module for soft-core CPU development. I've used this board as basis for JOP - the Java processor. JOP still fits into the ACEX 1K50.See some pictures of the board at:http://www.jopdesign.com/board.jspThe schematic and the PCB layout is provided under GPL.Features- Altera ACEX 1K50TC144-3 FPGA- Voltage regulators (3V3, 2V5)- Crystal clock (20 MHz)- 512KB Flash (for FPGA configuration and program)- 128KB Ram- Byteblaster port- Watchdog with LED- EPM7032 PLD to loa
acex 1k50 board
|
code | Dec 20, 2009 | Unknow | Stable | GPL |
prototype board iant: NoLicense: LGPLDescriptionTarget of this project is development FPGA and/or FPGA powered real time audio DSP applications. This is Free (like freedom) Hardware project, a PCI card with stand-alone possibility, with high-end digital and analog audio interfaces and MIDI.Possible target development areas will be:- Audio effects and delays- Equalizers (or digital audio filters of any type)- Acoustics correction- Digital crossovers (for loudspeaker systems)- Voice recognition- Synthesizers/samplers- Sample rate converters- Jitter attenuation- Mixing- Studio mastering
audio dsp pci card
|
code | May 8, 2006 | Unknow | Planning | LGPL |
prototype board one Compliant: NoLicense: OthersDescriptionTheButterfly Lightis an open source, modular FPGA development board. It is comprised of theUSB Cocoonand theSpartan 3E Cocoonwhich paired together create the Butterfly Light FPGA development board. The Butterfly Light is best suited for developers who prefer to create their own daughterboards instead of utilizing the "Wing" peripheral system. The Butterfly Light exposes the maximum amount of I/O of all available Butterflies. It is also well suited for use with theLogic Analyzersoftware which implements a 100Mhz, 32 channelLogic Analyzer.EAGLE design f
butterfly light
|
code | Dec 20, 2009 | Other | Stable | Others |
prototype board o:WishBone Compliant: YesLicense: LGPLDescriptionThis project uses two off the shelf boards and interfaces them. The processor board used is a Olimex LPC-L2294 and the FPGA board is a Terasic DE1. A Olimex ARM-USB-OCD was used to load and debug the code. The boards were cabled together with floppy and hard drive cables. The entire setup cost less than $350.The bridge from the wb_async_mem_bridge project is used to interface the External Memory Controller to the Wishbone bus on the FPGA. Currently the SRAM, GPIOs & HEX LED display is connected and there are plans to add the other interfaces
de1_olpcl2294_system
|
code | Apr 6, 2010 | Verilog | Alpha | LGPL |
prototype board Compliant: NoLicense: LGPLDescriptionA FPGA development board based on EP2C35F672, with SDRAM and flash .
ep2c35 board
|
code | Dec 20, 2009 | Other | Beta | LGPL |
prototype board Compliant: NoLicense:DescriptionEUS FS is an "open" system board designed for industrial control and data acquisition applications. It is equipped with a 32-bit CPU working @ 200MHz (Etrax FS), Xilinx's gate array (Spartan 3E) and support electronics. A BSP package contains Linux operating system version 2.6, driver for communication with FPGA and peripheral devices. Example FPGA cores are available in source form, along with full board documentation and schematics.Features List- Board dimensions 85 x 55 mm (3.35 x 2.175" )- 200MHz, 32bit Etrax FS processor- Up to 256MB SDRAM- 8 - 64 MB Flash
eus fs-alice ii-embeddable single board computer
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
prototype board doneWishBone Compliant: NoLicense: GPLShort DescriptionMinMax game tree search with alpha-beta pruning implemented in FPGA.Rules and heuristics implemented for Reversi/Othello game. The system is capable of analyzing ~5M game states/second @50MHz. (no selective search).RTL design Verilog 2001 compliant.VGA output, pushbuttons input (for playing), using Spartan3E Starter Kit board.General FeaturesTransition from a current game state to another is done in 1cc.Determining all possible transitions from a game state to another is also done in 1cc.Evaluation of one game state is done in 1cc.The heur
game-trees fpga implementation othello game
|
code | Dec 6, 2011 | Verilog | Alpha | GPL |
prototype board iant: NoLicense: LGPLDescriptionHighlights- Power Supply* 3.3 Volt - DC/DC-buck MAX1626* 2.5V LM1117-ADJ* 1.2V LM1117-ADJ- FPGA* Xilinx Spartan3E XS3S250E or XC3S500E -PQG208-Flash* Atmel AT45DB041D - 4Mb SPI Data Flash memory- USB* FT245RL - USB interface - Full Speed- Misc* 4x LED* 2x 7 segment LED* 4x SwDip switch* 2x Button* 2x IDC-2x20 header GPIO* Xilinx JTAG header* Xilinx SPI header* Oscillator - 50MHz
griva basic board
|
code | Feb 26, 2011 | Other | Stable | LGPL |
prototype board : NoLicense: BSDNorwegian University of Science and TechnologyThis project came to be because of the course "TDT4295 - Computer Design, Project", due to theInstitute of Computer and Information ScienceatThe Norwegian University of Science and Technology. The project was supervised by Assoc. Prof.Morten HartmannWhat is this?IGOR is in a complete system including:* A PCB with all the components of the system: FPGA, AVR microcontroller, IO-units, Memory... the works.* An implemented processor running on the FPGA.* Several IO units, connected to the main processor through an AVR mircrocontroller t
igor-a microprogrammed lisp machine
|
code | Jan 6, 2010 | VHDL | Beta | BSD |
prototype board liant: NoLicense: GPLDescriptionThe IIE-PCI Development Platform board is a low cost PCI device card with a programmable logic chip (Altera ACEX), dynamic ram, and expansion capabilities.The main purpose of the IIE-PCI board is to test PCI designs in a educational environment. Cost was a primary concern. The fabrication cost for the prototype board was U$S 330, if 10 boards are made, the cost will drop to U$S 230 per unit.More information is available at the project website:-http://www.mondueri.com/iiepci(mondueri.com/iiepci) (original site in spanish)-http://translate.google.com/translate?u=h
iie-pci board
|
code | Dec 20, 2009 | Other | Stable | GPL |
prototype board : YesLicense:DescriptionThe internal Logic state Analyser (LA) is a simplified version of a standard logic state analyzer, however it is build-in the prototyped circuit and therefore allows for probing internal signals. The LA at first writes probed signals into its internal memory, and then allows for off-line transfer through WISHBONE bus to a PC where the probed data can be watched. As during design prototyping watched signals are very often changed, the LA is mainly intended for FPGAs and works similarly to Xilinx ChipScope.FeaturesInternal memory for on-line data probing and off-line prob
internal logic state analyzer
|
code | Dec 11, 2002 | Unknow | Beta | Unknown |
prototype board done,FPGA proven,Specification doneWishBone Compliant: YesLicense:Description[Keep It Simple,Stupid] Board.The board was evaluated like [or1k/orp project].BOARD consists of two pieces. One is FPGA board. Another is MOTHER board.The device on the FPGA board is ANY(xilinx or altera ...).Only connected specification of the board is important.Board snapshotsMOTHER boardFPGA boardStatusAssemblyIt's planning(more cheap!)EvaluationIt's finished(commit code,RTL8019AS Evaluation is done)SimulationIt's finished(commit code,checkout-test is done)DesignIt's finished(commit code,checkout-test is
kiss-board
|
code | Dec 20, 2009 | Verilog | Stable | Unknown |
prototype board nt: NoLicense:DescriptionEUS 100LX is an "open" system board conforming to the PC104 format (90,2mm x 95,9mm) designed for industrial control and data acquisition applications. It is equipped with CPU, gate array and support electronics and comes with Linux operating system version 2.4 or 2.6, driver for communication with FPGA and peripheral devices, Allegro graphics library. Example FPGA cores are available in source form, as well as full board documentation - schematics, layout (available athttp://www.dspfpga.com/?page=eus_100lx).Features- ETRAX 100LX / MCM4+16 CPU- 32 MB SDRAM, 8 - 64 MB F
linux and xilinx fpga dev board
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
prototype board :WishBone Compliant: NoLicense: LGPLPlanned Features- LPC ROM(RAM?)- Flash regions Memory read, Firmware hub read, (IO read and write)- PSRAM regions Memory read and write, Firmware hub read, (IO read and write)- SPI ROM- Flash regions read- PSRAM regions read- 8 bit ROM- read (with standard CS, OE, WE, DATA, ADDR interface on the 32 extension pins)- Post code trace- Boot trace (list all memory cycles possible at least on LPC)- Simple Logic Analyzer with 32 pins and 32 pin GPIO python module support- VHDL Firmware update trough USB data cableIMAGE: Dongle_II_board_small.JPGFILE: Dongle_II_boar
lpc rom spi rom 8bit rom emulator on artec dongle
|
code | Mar 5, 2012 | Other | Stable | LGPL |
prototype board n doneWishBone Compliant: NoLicense:DescriptionThe MAXII-Evalboard is a small and simple board for learning VHDL und testing the own VHDL-codes on a real CPLD-hardware.For the first steps the beginner has 4 switches and a 2 digit LED-display to create and test simple functions.Later the advancer can realize a small graphical display with 10x7 LED-pixel with a time multiplex control and a communication link via a USB-UART-Connection to a PC.To expand the MAXII-Evalboard with a additionally hardware all pins of the CPLD are routed to pin contact strip.The MAXII-Evalboard based on the Altera EPM5
maxii-evalboard
|
code | Jun 26, 2005 | VHDL | Stable | Unknown |
prototype board NoLicense:DescriptionMicro FPGA board is a stand alone, low cost, do-it-yourself board. All components are possible to get from a local electronics shop (with possible exception of Virtex part). Board includes one Xilinx Virtex XCV100 chip.FPGA is loaded from PC via Xilinx XChecker cable and external power supply must be provided. It is designed for debugging and verification process of small units or cores. See a block diagram for details.Status- board is finished and it is fully functionalShematic sourcesSchematic as Adobe PDF documentSchematic in Protel Binary formatLibrary in Protel Binar
micro fpga board
|
code | Mar 10, 2009 | Unknow | Stable | Unknown |
prototype board : NoLicense:Specifications- small form factor (1dm2)- inexpensive surface mount technology (no Ball Grid Array (BGA) chips)- fast 50k gates or bigger FPGA- basic I/O capabilities like RS232 and IRDA- FLASH memory for FPGA configuration and microprocessor code- fast SDRAM for main memory- direct access to important signals through Logic Analyzer connectorsDescriptionOpenCores Reference Platform 1 (OCRP-1) standalone board was designed as a common prototype platform for testing our IP cores. It has a central FPGA for evaluating and testing IP cores, I/O capabilities, DRAM and FLASH memory. It al
ocrp-1 board
|
code | Jan 22, 2004 | Unknow | Stable | Unknown |
prototype board t: NoLicense:DescriptionOpenCores Reference Platform 2 (OCRP-2) is full-size length add-in PCI board. It includes two FPGA chips, video D/A and A/D converters, SDRAM memory, FLASH memory, PLD chip, USB, EIA232 and Ethernet PHY chips. It is designed for a debugging and verification process for several of our cores. See a block diagram for details.One special function of this board is to provide a method for a remote test of cores. The board will be used via web based interface. It will be possible to download design to the board and use a JAVA based logic analyzer and signal generator to debug
ocrp-2 board
|
code | Oct 15, 2001 | Unknow | Planning | Unknown |
prototype board iant: NoLicense: OthersDescriptionOMRP (Openpattern Modular Routing Platform) is the first product-oriented project of the OpenPattern company. The target is to create a new open hardware FPGA-based network router that can be used for mesh wifi networks, as an alternative of ISPs home-gateway, and as a development platform for future open-hardware SoC projects.Features- Open hardware - schematics and HDL sources will be/are released.- Flexible - implement whatever hardware acceleration or protocol you want in the FPGA.- Modular - many standard extension ports are implemented.- Unbrickable - ev
omrp prototype board v2
|
code | Jul 1, 2010 | Other | Alpha | Others |
prototype board t: NoLicense:IntroductionAll electronics designers, students and researchers are always trying to test their ideas and check its performance before punishing it. Several kinds of test prototype boards are used for this purpose. Usually these boards are either very expensive and has either more or less features than what the designer need. For this reason the idea of designing a simple and open design board is going to be available for anyone for almost nothing and he/she can customize it for his/her specific needs. The design of this board is intended to be an open design and to use free and o
open design prototype board
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
prototype board : NoLicense:IntroductionAs you know, we have lots of free IP cores here, and we€™ll have more coming soon. We have to use these cores otherwise they are invaluable. For this reason the idea of designing serials and open design boards are going to be available for any designers around the world.ObjectiveThis project is intended to:- To design schematic can deal with analog signal and transport through Ethernet.- To implementation CPU core and Ethernet core to one FPGA chip- To program the necessary operation system and application software to achieve the goal.- To build the prototype
opencores application board 1 oab1
|
code | Oct 15, 2001 | Unknow | Planning | Unknown |
prototype board Compliant: NoLicense:DescriptionOpensource OpenRisc Development Board. All CADsoft Eagle design files available to recreate the board using EagleLite, a freeware PCB design tool. Uses the largest Cyclone 2 device available in a QFP package, thus allowing larger RTL designs to be ported, and at the same time allowing easier PCB design and board assembly. Board design is double sided, and can be manufactured using low cost batch PCB services. But despite only being double layer, it has an almost continuous bottom side ground plane.A complete system consists of two separate boards;- Main FPG
openrisc development board
|
code | Dec 29, 2008 | Unknow | Stable | Unknown |
prototype board Bone Compliant: NoLicense: GPLA small versatile pci board, using Spartan-II at 200k gates.The v1.0 of board is builded, tested with opencores pci core, its state is functional.Featuresv 1.0 feautures:- PCI interface fully working with opencore pci project.- I/O pins routed externaly to an external connector- JTAG header, and small xilinx eeprom for holding the configuration.- Jumper select local eeprom or external download or debug.- Project aviable in gerber for manufacturing.- .ucf aviable specificaly for use with ISE.- Schematic aviable in pdf for description of the board.v 2.0b feautures
pci board
|
code | Dec 20, 2005 | Unknow | Mature | GPL |
prototype board nal info:Design done,Specification doneWishBone Compliant: NoLicense: LGPLinside pcjavascript:alert('');top viewjavascript:alert('');bottom viewjavascript:alert('');control_paneljavascript:alert('');interruptsjavascript:alert('');testingjavascript:alert('');pcb samplesjavascript:alert('');part listVarious:5V input SMT jack 3.5mm (Ebay)PROG 10pin 2.54mm JTAG header (2.5V)D1,D2,D3 0805 led (marking cathode side)IO 20pins 20pins 2.54 double row male headerTX/RX PLT133_T6A/PLR135_T10 everlightOSC50Mhz ABRACON_3V3_ASV_SERIE 50Mhz (mouser ASV-50.000MHZ-EJ-T)L1,L2,L3 3.3uH 1.1A inductors 0806 size
pci card with xilinx x3cs500e
|
code | Jan 10, 2013 | Verilog | Beta | LGPL |
prototype board venWishBone Compliant: YesLicense: GPLAn inexpensive PCI FPGA development boardThis is a port of the Opencores PCI core ported to the Enterpoint Raggedstone1 PCI card.This is a very inexpensive card: (~$100 USB). You can order one from Enterpoint:http://enterpoint.co.uk/moelbryn/raggedstone1.htmlA PCI based FPGA card-Inexpensive-PCI core works-All the code is in CVS-Uses the OC PCI coreVersion is in cvsTo check this project out of cvs:cvs -d:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous checkout raggedstone
raggedstone pci spartan-3 board
|
code | Feb 8, 2007 | Verilog | Beta | GPL |
prototype board mpliant: NoLicense: LGPLDescriptionHere i present a board with PCB and schematic included .the board include :-Spartan 6 FPGA (XC6SLX9)-PIC32MZ2048 processor (High range processor from Microchip , 200Mhz MIPS core)-high speed USB (device)-Ethernet 100Mb/s with LAN8720 transceiver chip-I/O header to FPGA pins : 18x 5.0V output (buffered with 74HCT04) and 20x 3.3V Input/output (no buffered)the connection between CPU and FPGA include complete EBI (Enhanced bus interface) bus , which permit CPU to read/write FPGA registers (just like a coprocessor arrangment).The board have been completely tested
spartan 6 + pic32 + usb + ethernet
|
code | Apr 14, 2015 | VHDL | Mature | LGPL |
prototype board GA provenWishBone Compliant: YesLicense: GPLDescriptionBoilerplate Verilog for use in Technologic Systems TS-7300 FPGA computer athttp://www.embeddedARM.com/epc/ts7300-spec-h.htmImplements bus cycle demultiplexing to an internal 16 and 32 bit WISHBONE bus and 10/100 ethernet interface. Provided as a ready-to-compile Altera Quartus II project complete with pinlocks, compiler assignments, PLL setting, and timing constraints. A simple stub module implements a 32 bit register in the address space of the 200Mhz ARM9 CPU that toggles onboard LEDs as an easily extendable example of creating a WISH
technologic systems ts-7300 fpga computer
|
code | Aug 16, 2008 | Verilog | Stable | GPL |
COMMUNICATION CONTROLLER | |||||
communication controller al info:WishBone Compliant: NoLicense: LGPLDescriptionEthernet MAC Layer Switch.The switch receive 100 MB/s data rate from 6channels and direct each frame received to its destination port.The switch is designed with :1. Simultaneously Read / Write frames memory - to improve latency2. Digital serialize / De - Serialize and digital routing coreThe Simulation include testbench of 6 Network Adapters (NIC Hosts)transmitting 100 MB/s data to the switch.NiC hosts are teken from the eth ip core projects as benchmarks( may be modified).
100 mb/s ethernet mac layer switch
|
code | May 25, 2014 | Verilog | Mature | LGPL |
communication controller WishBone Compliant: NoLicense:DescriptionThe 10G ethernet mac core. It is compliant with ieee 802.3ae. Our plan is:1. reading specification2. observation of different companies 10g ethernet mac core specifcation /data sheets3. identify the difference between 10/100/1000/1g ethernet mac cores.4. make the specification5. make the architecure document6. make the design document7. RTL coding and verication8. validating it on fpgaMain datapath logic is being tested on fpga now. Latest codes will be check in soon.Features- It is compliant with ieee 802.3ae- 10GBASE-RStatus- Planning- Reading specifi
10g ethernet mac
|
code | Sep 23, 2010 | Verilog | Alpha | Unknown |
communication controller nal info:Design done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionmail group is added to track all the Q&A from the author.If you have any question about the design, please send your question to mail group. The answer will be recorded as reference for other people.Homepage:http://groups.google.com/group/opencores-tri-mode-eth-macGroup email: opencores-tri-mode-eth-mac@googlegroups.com10_100_1000 Mbps tri-mode ethernet MAC implements a MAC controller conforming to IEEE 802.3 specification. It is designed using less than 2000 LCs/LEs to implement full function. It will use infe
10_100_1000 mbps tri-mode ethernet mac
|
code | Jan 19, 2015 | Verilog | Stable | LGPL |
communication controller :Design done,FPGA provenWishBone Compliant: NoLicense: BSDDescriptionImplements UDP, IPv4, ARP protocolsZero latency between UDP and MAC layer (combinatorial transfer during user data phase)Allows full control of UDP src & dst ports on TX.Provides access to UDP src & dst ports on RX (user filtering)Couples directly to Xilinx Tri-Mode eth Mac via AXI interfacechoice of ARPV2 layer with multislot cache, or smaller single slot ARP for point to point implementationsSeparate building blocks to create custom stacksEasy to tap into the IP layer directlySeparate clock domains for tx & rx p
1g eth udp/ip stack
|
code | Jun 14, 2015 | VHDL | Stable | BSD |
communication controller fo:WishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
1g ethernet arp
|
code | Oct 9, 2011 | VHDL | Planning | LGPL |
communication controller :Design doneWishBone Compliant: NoLicense: GPLDescriptionThis project, written in generic synthesizable VHDL, provides two separate cores for encoding and decoding byte data according to the 8b/10b protocol. 8b/10b is widely used in high speed serial communication standards that need a run-length limited, DC balanced data stream for reliable data transmission and clock recovery. The encoder accepts a parallel 8-bit raw input and generates a parallel 10-bit encoded value based on the data along with a running disparity value. The decoder does the reverse, providing a decoded 8-bit value from th
8b10b encoder/decoder
|
code | May 9, 2013 | VHDL | Stable | GPL |
communication controller info:Design doneWishBone Compliant: NoLicense:DescriptionA UART that is compatible with the industry standard 16550DIncludes wrappers for the Wishbone and AMBA APB bussesFeaturesUses parts from the project (3.17 or later)Sticky parity is not supportedFIFO's are always enabledStatusDesign is finished18 Jun 2007P. Azkarate's addition of range for integers in Rx, Tx modulesthis helps when using the Altera tools12 July 2007fix a couple problems found by Matthias Klemm with 5, 6, and 7 bit transfers14 July 2007Correct FCR bit 3 information (DMA Mode control)4 Aug 2007fix some TOI problems18 Aug 200
vhdl 16550 uart core
|
code | Dec 8, 2010 | VHDL | Stable | Unknown |
communication controller onal info:Design doneWishBone Compliant: NoLicense:DescriptionA (as far as I know) untested VHDL translation of the Verilog Can protocol ControllerTo Download, click at the "Downloads" button upper right part of this pageThis project is a translation Igor Mohor's Veriloghttp://opencores.org/project,can,overview(CAN Protocol Controller)FeaturesThe modules have "_vhdl_" added to their names, to ease compare simulation with Verilog version (for those with mixed a language simulator)Statususe at own risk - have no had time to test/simulatecheck the Philips SJA1000 data sheet and thehttp://opencore
vhdl can protocol controller
|
code | Oct 31, 2014 | VHDL | Stable | Unknown |
communication controller :StableAdditional info:FPGA provenWishBone Compliant: NoLicense:DescriptionThis is a feed forward receiver for an ADAT lightpipe optical datastream. This type of multichannel audio connection is widely used in professional digital recording studios. It consists of eight 24 bit wide audio words, at a sample rate (wordclock) of 32kHz, 44,1kHz or 48kHz. It can double the sample rate at the cost of half the number of channels, this is called S-MUX (not supported yet). There are 4 user bits to carry extra data (MIDI, S-MUX indicator, timecode and spare). ADAT streams are encoded with NRZI coding, m
adat receiver
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
communication controller ishBone Compliant: NoLicense:Status- Everything was tested and is believed to be bug-free, but no warranties.DescriptionVHDL implementation of the AMI --- Alternate Mark Inversion --- and HDB1 --- High Density Bipolar of order 1 line codes.For other line code refer to:http://www.opencores.org/projects/hdbnFeatures- AMI- encoder- decoder- simulation files for both encoder and decoder- HDB1- encoder- decoder- simulation files for both encoder and decoder
ami/hdb1 line codes
|
code | Nov 25, 2007 | VHDL | Stable | Unknown |
communication controller hBone Compliant: NoLicense: LGPLDescriptionThe aim of this IP is to provide those who use it the possibility and reading and writing in an external interface for analog devices. Porting APB ARM, offering the possibility of integration with ARM processor in general.
apb to i2c
|
code | Dec 15, 2014 | Verilog | Alpha | LGPL |
communication controller ngAdditional info:WishBone Compliant: NoLicense: LGPLDescriptionUsually, 8b/10b codec is required with using a fibre-optic SERDES interface.A SERDES converts fast serial optic-stream into less fast 10bit parallel electric-signals.Even though less fast electric-signals, that has almost or more 100Mhz speed.so the FPGA logic processing 8b/10b must have capable to terminate processing encode and decode with minimal delay.This project provide you the VHDL code, processing 8b/10b enc/dec asynchronously.It is implemented by a large lookup-table for better performance.a lookup-table implementation ca
async 8b/10b enc/dec
|
code | Dec 1, 2011 | VHDL | Planning | LGPL |
communication controller ne Compliant: NoLicense:DescriptionThis module scans an incoming stream of rs232 serial characters. It constantly looks for a new character, which it detects by seeing the "start" bit. When a condition resembling a start bit is detected, the module then begins a measurement window, to try and determine the BAUD rate of the incoming character. Since many different characters have different bit transitions because of their different data content, this module actually only "targets" a single character -- in this case the "carriage return" character (0x0d). How can it tell if the character is
automatic baud rate generator
|
code | Mar 11, 2013 | Unknow | Stable | Unknown |
communication controller provenWishBone Compliant: NoLicense: GPLDescriptionEver needed a pulse at a given frequency ( period ).Well that is what BaudGen gives you.By the use of parameters, you specify the frequency of the clock you wish to divide, the period ( baud rate ) you wish out, and optionally, how fast you want an over sample output.BaudGen works out the required count values, and outputs one clock wide pulses at the required rate.
baud generator
|
code | Dec 20, 2009 | VHDL | Stable | GPL |
communication controller shBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
bitwise addressable gpio
|
code | Jan 23, 2011 | Verilog | Beta | LGPL |
communication controller e Compliant: YesLicense:DescriptionThe Bluetooth is a standard protocol for wireless connection between devices such as cell phones, PDAs, PCs and any other device. The main objective for this standard is to provide a royalty free standard for such wireless protocol.The objective of this project is to build an opensource free bluetooth baseband controller, LMP, HCI and higher layers software stacks.My bluetooth documentation siteStatus- Working on functional and design specifications. Check preliminary Baseband spec and architecture spec in Download section- Defining core architecture- De
bluetooth baseband controller
|
code | Dec 20, 2009 | Unknow | Alpha | Unknown |
communication controller pliant: YesLicense:DescriptionController Area Network or CAN is a control network protocol fromBosch that has found wide use in Industrial Automation and theAutomotive Industry.Most of the patents of CAN are owned by Bosch and although thereare no restictions on developing an opensource CAN IP but for anycommercial use the protocol license from Bosch is an indispensable prerequisite.Size is approximately 12k gates (930 flip-flops).Block DiagramIMAGE: CAN.gifFILE: CAN.gifDESCRIPTION:Features- Non-Destructive bit-wise arbitration (CSMA/CA)- Message Based Addressing/Filtering- Broadcast Communica
can protocol controller
|
code | Feb 17, 2015 | Unknow | Stable | Unknown |
communication controller nfo:WishBone Compliant: NoLicense: LGPLDescriptionCheap Ethernet interfaceRealization of Ethernet interface and protocols optimized for minimal external components and FPGA resources.FPGA may connecting through transformer or directly to twisted pairs (on your own risk).Features- 10BASE-TX interface (10 MBit/sec) full-duplex (thanks to fpga4fun.com).- Base functional of ARP (reqest, reply), ICMP (reply), UDP protocols (server, client).- Maximum packet size is 1 kb (fragmentation not supported).Required 50MHz/48Mhz and 20MHz clocks, 8kbit block memory, ~800 slices.Tested on Spartan 3E 500 with
cheap ethernet interface
|
code | Aug 31, 2012 | Verilog | Beta | LGPL |
communication controller shBone Compliant: NoLicense: GPLDescriptionDiscrete Multi Tone (DMT) is the modulation scheme used for Asymmetric Digital Subscriber Line (ADSL) systems and one of the modulation schemes used for Very high-speed Digital Subscriber Line (VDSL) systems.Goal of the project is to implement the individual building blocks of a DMT transceiver, following ITU-T recommendation G.992.1 for ADSL systems.Features- Following ITU-T recommendation G.992.1 ADSL- No trellis support- No echo cancellationPhase 1, DMT Modem:- Constellation encoder- Gain- IDFT- Cyclic prefix- TDQ- Cyclic prefix- DFT- Constellation
dmt transceiver
|
code | Nov 7, 2011 | Other | Planning | GPL |
communication controller gn done,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis core is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.DMX protocol fully implemented in hardwareDMX channels simply mapped in CSR address spaceThru mode enables operation as a traditional DMX receiving deviceMore informationCSR bus specificationsCore documentationDMX512 at Wikipedia
dmx512 transceiver
|
code | Aug 13, 2010 | Verilog | Beta | GPL |
communication controller provenWishBone Compliant: NoLicense: OthersDescriptionOpen Source Documented Verilog UARTPurposeThis module was created as a result of my own need for a UART (serial line I/O) component and frustration at the difficulty of integrating the existing available components in to my own project. All the open source UART modules I found were difficult to interface with, usually due to being more clever than I wanted them to be, and had poor documentation for their interfaces. They were also generally written in VHDL, which since I've never written VHDL made it a little difficult to read to work out t
documented verilog uart
|
code | Dec 16, 2013 | Verilog | Beta | Others |
communication controller hBone Compliant: NoLicense: GPLDescriptionDQPSK symbol mapper suitable for TETRA/APCO-25 physical layer.
dqpsk mapper
|
code | Sep 29, 2013 | VHDL | Stable | GPL |
communication controller Bone Compliant: NoLicense: GPLDescription
dqpsk symbol mapper
|
code | Apr 19, 2013 | VHDL | Planning | GPL |
communication controller l info:WishBone Compliant: NoLicense:E1 Framer & DeframerE1 framer Deframer core implements CCITT (ITU) recommedations G.704,G.706 and G.732 for 30 channel multiplexed E1 stream at 2.048Mbps rate.Note:This project is part of the OpenStacks initiative at the Telecom Software Laboratory, Electrical Engineering Department / Bharti School of Telecommunication Technology & Management. The initiative is founded and led by Dr.Subrat Kar (subrat@ee.iitd.ac.in) at the Department of Electrical Engineering, IIT Delhi. Please seehttp://www.iitd.ac.in/eeandhttp://www.iitd.ac.in/bsttmfor detailsFeat
e1 framer/deframer
|
code | Dec 20, 2009 | VHDL | Planning | Unknown |
communication controller hBone Compliant: NoLicense:
ebu/spdif to i2s project
|
code | Jan 10, 2014 | VHDL | Unknow | Unknown |
communication controller rovenWishBone Compliant: YesLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
ethernet 100/1000 mbps
|
code | Aug 22, 2013 | VHDL | Beta | LGPL |
communication controller o:WishBone Compliant: NoLicense: LGPLDescriptionThis is a fork of the xge_mac and was released by the Computer Architecture Group (http://cag.uni-hd.de)of the University of Heidelberg.Main changes in this fork:-Unwanted FIFOs removed-Latency reduced due to the removal of the FIFOs and a new CRC implementation-Interface very similar to the one of the Xilinx MACThis core is in production use.
ethernet 10ge low latency mac
|
code | Dec 1, 2012 | Verilog | Stable | LGPL |
communication controller esign done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe 10GE MAC Core implements the Media Access Control functions for 10Gbps operation as defined in IEEE Std 802.3ae.Features1. Interfaces- XGMII Interface (64-bit single clock edge)- POS-L3 like Interface for core logic side- Wishbone Interface for control2. Inter-Frame GAP- Deficit Idle Count per Clause 463. Pause Frames- Received Pause Frames filtering- Receive Indication4. LAN mode operation5. Link Status- Local Fault Detection- Remote Fault Detection/Indication6. Latency- Low-latency flow-through mode (
ethernet 10ge mac
|
code | Apr 20, 2013 | Verilog | Stable | LGPL |
communication controller IC proven,Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe Ethernet MAC (Media Access Control), sublevel within the Data Link Layer of the OSI reference model. This core is designed for implementation of CSMA/CD LAN in accordance with the IEEE 802.3 standards.The MAC is the portion of ethernet core that handles the CSMA/CD protocol for transmission and reception of frames. It peforms Frame Data Encapsulation and Decapsulation, Frame Transmission, and Frame Reception.Size is approximately 28k gates (2400 flip-flops).SpecificationEthernet Design Docume
ethernet mac 10/100 mbps
|
code | Sep 2, 2013 | Verilog | Stable | LGPL |
communication controller ication doneWishBone Compliant: NoLicense:OverviewThe Serial Media Independent Interface, SMMI, is a low pin count version of the MII normally used between ethernet MAC and PHY.The Serial Media Independent Interface (SMII) is designed to satisfy the following requirements:Convey complete MII information between a 10/100 PHY and MAC with two pins per portallow multi port MAC/PHY communications with one system clockOperate in both half and full duplexper packet switching between 10 Mbit and 100 Mbit data ratesallow direct MAC to MAC communicationSMII is composed of two signals per port, global
ethernet smii
|
code | Mar 19, 2009 | Verilog | Beta | Unknown |
communication controller neWishBone Compliant: NoLicense: LGPL
ethernet switch on configurable logic
|
code | Jul 5, 2014 | VHDL | Beta | LGPL |
communication controller WishBone Compliant: NoLicense: GPLDescriptionIt's a general purpose Cypress EZUSB communication core which was developed forZTEX FPGA Boardsand supports the following features:EZ-USB slave FIFO inputEZ-USB slave FIFO outputbuffering and filtering of the interface clock from the EZ-USBScheduler if both directions are activeAutomatic committing 'PKTEND' after timeoutInterfaceThe usage of this core is best described by a commented port definition:module ezusb_io#(parameter CLKBUF_TYPE="",// selects the clock preparation method (buffering, filtering, ...)// "SPARTAN6" for Xilinx Spartan 6,// "SERI
ezusb communication core
|
code | Jul 29, 2014 | Verilog | Stable | GPL |
communication controller info:FPGA provenWishBone Compliant: NoLicense: OthersDescriptionThis project implements the simple and light protocol for transmissionof data from low resources FPGA connected to the Ethernet PHYand an embedded system running Linux OS.The main goal was to assure the reliable transmission over unreliableEthernet link without need to buffer significant amount of datain the FPGA. This created a need to obtain possibly earlyacknowledgment of received packets from the embedded system,and therefore the protocol had to be implemented in layer 3.The Ethernet type 0xfade was used (unreg
fade-light l3 ethernet protocol for transmission o
|
code | Oct 5, 2014 | VHDL | Beta | Others |
communication controller one Compliant: YesLicense:Description*NOTE* This project is currently unmaintained and uncompleted. If you would like to take over this project please contact the current maintainer Kris Bahnsen.FireWire, Apple's implementation of IEEE 1394 protocol, is a high performance serial bus protocol to provide easy-to-use, low-cost, high-speed communications.Click herefor a good collection of links to IEEE 1394 documents.The goals of the FireWire project is to provide IEEE 1394 and IEEE 1394a-2000 compliant Link Layer cores:Link coreandHost Controller core. The project will also include firmware
firewire ieee 1394
|
code | May 3, 2015 | Unknow | Planning | Unknown |
communication controller nal info:WishBone Compliant: NoLicense: BSDDescriptionFPGA-CF is an open-source, portable, extensible communications package that consists of a small hardware core (less than 600 slices) and and a host-software library/API (Java and C++). It enables a host PC to transmit data at 120 Mb/s to XIlinx-based FPGA boards via Ethernet using standard internet protocols (UDP/IP). A custom lightweight connection-oriented protocol guarantees reliability. The hardware core is directly connected to the Xilinx internal configuration port (ICAP) and supports all ICAP functionality. The core also provides an
fpga communication framework
|
code | Aug 18, 2011 | Verilog | Alpha | BSD |
communication controller ional info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLBlock DiagramDescriptionControl the activity and status of your FPGA by targeting a memory mapped space inside it.Based on:-- elements from the GH libraries (GH_library)-- HLeFevre UART project (LeFevre_uart)Simple three steps access procedure:-- Write words of 2 bytes address and 4 bytes data.-- Ask for an update targeting the update register (default 0x8000 0x00000000)-- Read words of 2 bytes address and 4 bytes data.The code comes plug and play:* the whole uart initialization process is automatic* 4 pins
fpga remote slow control via uart 16550
|
code | Jul 16, 2012 | VHDL | Stable | LGPL |
communication controller WishBone Compliant: NoLicense: OthersDescriptionThe FT2232H is a USB2.0 Hi-Speed USB Device to FIFO IC.This core allows the use of this chip with an FGPA design in high speed FT245 style synchronous FIFO mode.Data rates up to 25 mbytes/s can be achieved. The core has internal FIFOs on the receive and transmit for improved throughput.For more information see FTDI's appnote "AN_130_FT2232H_Used_In_FT245 Synchronous FIFO Mode.pdf"Included: VHDL core, NIOS test application, PC test application
ft2232h usb avalon core
|
code | Mar 8, 2014 | VHDL | Stable | Others |
communication controller info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe FT245R is a USB to parallel FIFO interface with a very simple protocol (for both FPGA and software). FT245R interface core is intended to simplify the communication of your design with FT245R external chip. It takes care of delays and synchronization with the actual device. I tried to keep the core as simple as possible, however, although it is fully functional, there may be a place for further improvements, hence the status is "stable" rather than "done".FeaturesEasy to use.Fast (th
ft245r interface
|
code | May 26, 2015 | VHDL | Stable | LGPL |
communication controller provenWishBone Compliant: NoLicense: GPLDownloadThe latest release of the Gamepads project is version 0.3 BETA.Get this and all previous versions of the design files from SVN:Download repository.Please keep in mind that trunk/ is work in progress and might contain smaller or bigger problems.You should also check theTrackerfor known bugs and see if they affect your work.ToolsThe following tools are integrated and are required for this project:TheGHDLsimulatorDescriptionThis project contains a collection of cores that interface with various gamepads.Each gamepad type has a dedicated controller c
gamepads
|
code | Dec 20, 2009 | VHDL | Beta | GPL |
communication controller mpliant: YesLicense:DescriptionThe GPIO IP core is user-programmable general-purpose I/O controller. Its use is to implement functions that are not implemented with the dedicated controllers in a system and require simple input and/or output software controlled signals.FeaturesThe following lists the main features of GPIO IP core:- Number of general-purpose I/O signals is user selectable and can be in range from 1 to 32. For more I/Os several GPIO cores can be used in parallel.- All general-purpose I/O signals can be bi-directional (external bi-directional I/O cells are required in thi
general-purpose i/o gpio core
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
communication controller fo:Design doneWishBone Compliant: NoLicense: GPLDescriptionProject content:trunk/vhdl - source of this open coretrunk/prototype_1 - example prototype using the GPIB coretrunk/prototype_1/fpga - xilinx project using trunk/vhdl as SVN externaltrunk/prototype_1/PC_software - PC test softwaretrunk/prototype_1/PCB - schematic diagram and PCB for prototypePrototype board uses minimodule MMfpga12 (http://www.propox.com/products/t_154.html?lang=en).The value added by the board is phisical GPIB interface and USB interface to connect to PC.To run prototype download trunk/prototype_1/fpga, build it and c
gpib ieee-488 controller
|
code | Jan 6, 2013 | VHDL | Alpha | GPL |
communication controller gn done,Specification doneWishBone Compliant: YesLicense: LGPLArchitectureDescriptionHardware Assisted IEEE 1588 IP Core. The necessary FPGA logic to assist SW protocol stack in implementing the Precision Time Protocol (IEEE 1588-2008) on 1000M/100M/10M Ethernet networks. PTP packets transmitting and receiving should be implemented by PTP SW protocol stack (PTPd) with existing MAC function; This IP Core implements the Real-Time Clock (RTC) and Time Stamping (TSU) of PTP event packets.Feature DescriptionRTC: Real Time Clock.* Standard PTP clock output with 2^48s and 2^32ns time format.* 1PPS ou
hardware assisted ieee 1588 ip core
|
code | Feb 9, 2015 | Verilog | Beta | LGPL |
communication controller e Compliant: NoLicense: BSDDescriptionThis €œcore€? is actually two cores €“ an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703.Note: HDB2 and B3ZS are different names for the same encoding.HDB3 is typically used to encode data at 2.048 (E1), 8.448 (E2) and 34.368Mb/s (E3)B3ZS is typically used to encode data at 44.736Mb/s (T3)Features- HDB3 / HDB2 selected by a generic.- Code Error output on decoder.- P and N outputs (on encode
hdb3/b3zs encoder+decoder
|
code | Oct 29, 2014 | VHDL | Stable | BSD |
communication controller mpliant: YesLicense:Features- 8 bit parallel backend interface- use external RX and TX clocks- Start and end of frame pattern generation- Start and end of frame pattern checking- Idle pattern generation and detection (all ones)- Idle pattern is assumed only after the end of a frame which is signaled by an abort signal- Zero insertion- Abort pattern generation and checking- Address insertion and detection by software- CRC generation and checking (Optional, external, since CRC-16 or CRC-32 can be used)- FIFO buffers and synchronization (External)- Byte aligned data (if data is not aligned to 8-b
hdlc controller
|
code | Dec 24, 2013 | Unknow | Stable | Unknown |
communication controller ign doneWishBone Compliant: NoLicense:DescriptionA HyperTransport Tunnel controller written in SystemC. HyperTransport (HT) is a high-performance chip-to-chip interconnect architecture. A tunnel has two HT ports to allow it to be used inside a chain of components.More information about HyperTransport can be found at theHyperTransport Consortium web site.Features- Written in synthesisable SystemC- Designed with the HyperTransport 2.0b specification- Core configurable options include:- Retry mode- DirectRoute- In-vc packet reordering- Extendable configuration register space- Buffer size- Ei
hypertransport tunnel
|
code | Dec 20, 2009 | Other | Beta | Unknown |
communication controller proven,Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: BSDDescriptionI2C is a two-wire, bidirectional serial bus that provides a simple, efficient method of data exchange between devices. It is primarily used in the consumer and telecom market sector and as a board level communications protocol.The OpenCores I2C Master Core provides an interface between a Wishbone Master and an I2C bus.It is an easy path to add I2C capabilities to any Wishbone compatible system.You can find the I2C specifications onPhillips webSite.Work was originally started by Frdric Ren
i2c controller core
|
code | Jun 2, 2015 | Verilog | Stable | BSD |
communication controller info:Design done,FPGA provenWishBone Compliant: NoLicense: BSDDescriptionSince lots of people ask me questions about my core, i want to clarify some things:1) the master works, the slave is not entirely thought-through, i used it in simulation only.2) i'm adding a diagram, that explains how to control the core.3) adding a file name i2c_master_v01.vhd, that containes the master only.4) since i have some time now, i will try to work on the slave.have fun!Eli.The file name is V02 because V01 contained only an unwilling to work master.it will not be posted here.Master:*supports burst writes and re
i2c master slave core
|
code | Jun 10, 2012 | VHDL | Stable | BSD |
communication controller itional info:FPGA provenWishBone Compliant: YesLicense:DescriptionDescription of project..This design is Wishbone compatible I2C core. This core can work as I2C master as well as slave.VMM Test-bench is also available.FeaturesBoth Master and slave operationBoth Interrupt and non interrupt data-transfersStart/Stop/Repeated Start generationFully supports arbitration processSoftware programmable acknowledge bitSoftware programmable time out featureprogrammable address registerProgrammable SCL frequencySoft reset of I2C Master/SalveProgrammable maximum SCL low periodsynthesis coreStatusDesign: Don
i2c master/slave core
|
code | Dec 20, 2009 | Verilog | Stable | Unknown |
communication controller :WishBone Compliant: NoLicense: LGPLDescriptionThis is a quick module I hacked together to connect two I2C buses to work around a hardware bug on a board.It mostly works, and I'm posting it in case others find it useful. I wouldn't use it in a production system as it stands.It is written in SystemVerilog, so you'll need to change some "logic" declarations to "reg" if your compiler can't handle SystemVerilog. There are probably some other SystemVerilog features used, also.I suggest toggling the reset signal between I2C transfers, if possible.
i2c repeater
|
code | Nov 28, 2011 | Verilog | Beta | LGPL |
communication controller Design done,FPGA provenWishBone Compliant: NoLicense: GPLDescriptioni2cSlave is a minimalist I2C slave IP core that provides the basic framework for theimplementation of custom I2C slave devices. The core provides a means to read and writeup to 256 8-bit registers. These registers can be connected to the users custom logic,thus implementing a simple control and status interface. A fullIcarusVerilog test bench is available.Test it for yourself, using the free Icarus Verilog simulator and the free GTKWave wave form viewer. Only 6 simple steps!-Downloadand install Icarus Verilog.-Dow
i2c slave
|
code | Dec 18, 2013 | Verilog | Stable | GPL |
communication controller Compliant: NoLicense:FEATURES- Captures I2C 2 wire serial bus activities into an external RAM- Applicable to Atmel 2 wire serial bus format:This includes (1) Random byte write(2) Page write(3) Random byte read(4) Multiple start- End of a transition after the stop bit is followed by an 8 bit count for simple time stamp.- Code witten for 32K byte capacity, can be modified to higher capacity.- Requires an external 2MHz to 5MHz clock.I2C Bus Traffic LoggerDESCRIPTIONTwo wire serial I2C bus is designated as the communication standard in physical layer (PHY) transponders for link status monitoring
i2c traffic logger
|
code | Dec 20, 2009 | Unknow | Mature | Unknown |
communication controller shBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
i2cgpio
|
code | Dec 21, 2009 | Verilog | Alpha | LGPL |
communication controller WishBone Compliant: YesLicense: LGPLDescriptionI2C slave to WishBone master interface.
i2c_to_wb
|
code | Sep 15, 2010 | Verilog | Alpha | LGPL |
communication controller o:Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: GPLFeatures- Separate transmitter and receiver.- Operates in either slave or master mode.- Configurable sample buffer size.- Supports 16bit to 32bit resolution.- Supports 16bit and 32bit Wishbone data bus.- Interrupt capability.DescriptionI2S is an industry standard (invented by Philips) 3-wire interface for streaming stereo audio between devices. Typical applications include digital audio transfer between a CPU/DSP and a DAC/ADC. The I2S core allows a Wishbone master to stream stereo audio to and from I2S capable devi
i2s interface
|
code | Apr 23, 2014 | VHDL | Stable | GPL |
communication controller GA provenWishBone Compliant: NoLicense: GPLI2S to Paralell ADC/DAC controllerThis provides a bridge between a paralell device (such as a microcontroller (uC) and an I2S (!not! I2C) audio bus, generally used for ADC's and DAC's, such as in DVD & MP3 playersNominal target is a CPLD, 128-cell variants will hold the entire project for bidirectional (ADC & DAC) operation simultaneously with 24-bit I/O's. Removing either side or reducing bus width allows operation in 64-cell devices (the core was actually tested in this configuration).Origonally written in VHDL for Xilinx ISE - project &
i2s to paralell adc/dac controller
|
code | Dec 20, 2009 | VHDL | Beta | GPL |
communication controller o:Design doneWishBone Compliant: NoLicense: GPLI2S to Parallel InterfaceThis module provides a bridge between an I2S serial device (audio ADC, S/PDIF Decoded data) and a parallel device (microcontroller, IP block).It's coded as a generic VHDL entity, so developer can choose the proper signal width (8/16/24 bit)Input takes:-I2S Bit Clock-I2S LR Clock (Left/Right channel indication)-I2S DataOutput provides:-DATA_L / DATA_R parallel outputs-STROBE and STROBE_LR output ready signals.As soon as data is read from the serial I2S line, it's written on the proper parallel output and a rising edge of th
i2s to parallel interface
|
code | Mar 17, 2011 | VHDL | Beta | GPL |
communication controller fo:WishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
i2s to wishbone
|
code | Mar 28, 2011 | Verilog | Planning | LGPL |
communication controller Bone Compliant: NoLicense: LGPLDescriptionComplete implementationof i8255 PPI in fpga.You may find somedatasheets abouthere.Verilog code has somemodules:PORTS - matches to thea,b,c. External world - inout tri-state bus. Internal circuit -datain and dataout buses.port c divided by twoparts - high and low.GROUPS - representgroup A and group B like in the real device. Group A controls port Aand hight 4 bits of port C.Group B controls port Band low 4 bits of port c.Groups connected toports with input/output data buses and control lines.i8255 core - allexternal inputs and outp
i8255 realisation in verilog
|
code | Dec 20, 2009 | Verilog | Alpha | LGPL |
communication controller tional info:WishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of a component that can be connected to the input port of the Virtex-5 Ethernet MAC Local Link Wrapper and that allows for transmission of IPv4 ethernet packets.The complete UDP/IP core that uses this component is the UDP/IP Core project.
ipv4 ethernet packet creator and transmitter
|
code | Mar 7, 2014 | VHDL | Stable | GPL |
communication controller mpliant: YesLicense:DescriptionIrDA core that utilizes uart16550 core for 115.2Kbit/s IrDA communication. Required bit encoding/decoding is performed.The 115.2 (SIR) mode should work alright.There's also a lot of code for MIR and FIR, much faster communication modes. Yet they are not fully tested and are sure to contain a lot of bugs.Features Designed for all standard IR transceivers. Implements WISHBONE bus interface Up to 4Mbit communication speed Programmable clock selection Loopback option for testing Works with WISHBONE bus clock Can request DMA transfersStatusCurrently, only 115.2 (SIR)
irda
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
communication controller l info:FPGA provenWishBone Compliant: NoLicense: BSDDescriptionThis project aims at implementing a basic iso7816:3 master which can be controlled by software or by a state machine.This is a work in progress. Currently, a draft implementation is being crafted just to identify the design challenges.Once it is completed, the plan is to make a precise spec of a final version and then implement it.Currently the IP supports only T=0, in direct and inverse convention. It does not handle T=0 parity error signaling / retry mechanism yet.FPGA test included only the UART, not the master module.
iso7816_3_master
|
code | Apr 18, 2011 | Verilog | Alpha | BSD |
communication controller ishBone Compliant: NoLicense: LGPLDescriptionThis is a JTAG Master written in VHDL. It's simulated and tested with XC9500 and the jtag slave from opencores (http://opencores.org/project,jtag)
jtag master
|
code | Jun 8, 2010 | VHDL | Alpha | LGPL |
communication controller A provenWishBone Compliant: NoLicense: LGPLDescriptionproject is closed at the moment.
jtag slave/boundaryscan slave
|
code | Jul 24, 2012 | VHDL | Beta | LGPL |
communication controller nfo:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionMain features of latest v5 HW are:-LPC memory read (can be disabled),LPC Firmware Hub memory readand IO write for POST Code capture (and display on LED segments)-POST code peek mode (LPC reads from dongle are disabled)-POST code logger (sends all postcodes to USB serial port as hexadecimal bytes in ASCII)This is hardware project for existing USB dongleboard (costing about 150 EUR you should check from sales(at)artecgroup.com). Using it for LPC dongle.IP cores- LPC slave (supporting IO write, Memory read and LPC Firmware Hub read from
lpc rom emulator on usb dongle fpga core set
|
code | Jan 27, 2009 | VHDL | Stable | LGPL |
communication controller o:Design done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis is a receiver for a Multichannel Audio Digital Interface (MADI), also known as AES-10. This type of fibreoptical or electrical connection is used in professional audio where a greater number of digital audio channel has to be transported.The link speed of MADI is 125Mbit/s, while the data transfer rate used is 100Mbit/s. The diffrence between the two is explained by the use of a link encoding scheme. The encoding scheme used is known as 4B5B, which turns a 4 bit nibble into a 5 bit symbol. The data is NRZI encoded for
madi receiver
|
code | May 5, 2015 | VHDL | Stable | LGPL |
communication controller info:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis core decodes incoming Manchester encoded data. The core is easily modified for your particular project, in that there are just a few constants that you must change.This project is in an alpha stage and is currently too susceptible to other radio noise. In our development environment, there is currently a 25-50% error rate, which comes from the algorithm misidentifying signal for noise and noise for signal.Obtain the most current code with:svn cohttp://opencores.org/ocsvn/manchesterwireless/manchesterwireless/tags/release-1.0ma
manchester decoder for wireless
|
code | Jun 24, 2009 | VHDL | Alpha | LGPL |
communication controller A provenWishBone Compliant: NoLicense:DescriptionBosch control keyboard and Bosch DVR/VCR send bi-phase Manchester signal in their own format to control Bosch speed doom. This converter get the data and change to UART format for the MCU to process it.This is the signal converter on data link layer.About how to convert signal in phyical layer , there have some circuit to do it , if interest please email to me at kenneth@opencores.org to get schematic.Featuresseparate manchester signal- guard_time- preamble- sync_start- data- stop-- example : Pan Left Manchester signal on philips protocol-- 0000
manchester to uart converter
|
code | Nov 16, 2009 | VHDL | Stable | Unknown |
communication controller o:WishBone Compliant: NoLicense: LGPLDescriptionThis is a Manchester encoded UART that enables runing small periferals with parasitic power derived from the TXD line, and allowing large clock differences typical of RC oscillators.ManchesterUartWhat it is:The Manchester UART replaces a standard UART. Instead of the NRZ coding of byte, it uses a Manchester protocol and encodes a 16 bit data word. The Manchester protocol transitions in the middle of the bit time. A rising transition is considered a one, and a falling transition is considered a zero. In order to get the correct edge in the middle
manchester uart
|
code | Nov 12, 2014 | VHDL | Alpha | LGPL |
communication controller ign done,FPGA proven,Specification doneWishBone Compliant: YesLicense: GPLDescriptionThis core is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.Minimal 10/100 Ethernet MAC.Only full duplex support for now.DMA support (Wishbone master)Packets are streamed to and from system memory to minimize costly on-chip storage.Directly connects to standard MII PHYs.Bit-banged MDIOMore informationCore documentationCSR bus specifications
minimac-the minimalist ethernet mac
|
code | Aug 7, 2010 | Verilog | Beta | GPL |
communication controller Bone Compliant: NoLicense: LGPLDescriptionThis is another UART project, but is different because that it is very small and will occupy less macrocells on a CPLD.The purpose of this core is only to implement a very basic UART, without handshaking or FIFO's.It was developed to be syntezizable on a large number of syntesis tools, so it can be adapted to your device easily.On the first implementation was used a Xilinx Spartan 3E, with 64 occupied slices.Please read the documentation, it have useful implementation examples.For the testing was used the Modelsim simulator and a Enterpoint Drigmorn bo
minimal uart core
|
code | May 7, 2011 | VHDL | Planning | LGPL |
communication controller shBone Compliant: NoLicense:DescriptionDescription of project..Features- feature1- feature1.1-feature1.2-feature2Status-Planning
most network interface controller
|
code | Dec 25, 2005 | Verilog | Planning | Unknown |
communication controller Compliant: NoLicense:DescriptionThe main file is modem.vhdI don't separate the test part from modulation part.The main modulation part is ofdm.vhd, and you can chose if it will work as TX or RX, the bit size. But, don't change Point and Stage, it has a bug.Another time I write more (and better).Forgive my english.Features- feature1- feature1.1-feature1.2-feature2StatusHave a bug if change the fft size.
ofdm modem
|
code | Dec 30, 2012 | VHDL | Beta | Unknown |
communication controller ecification doneWishBone Compliant: YesLicense: GPLDescriptionSoftUSB is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.Supports full (12Mbps) and low (1.5Mbps) speed operationTwo downstream ports with shared bandwidthIntegrated PHYDirectly interfaces to common USB transceivers such as the MIC2550AHybrid architecture featuring theNavrAVR compatible processor (8-bit RISC) to implement the complex parts of OHCI in C software.Two asynchronous clock domains: system clock and 48MHz USBAVR program and OHCI descriptors and data are store
ohci full/low-speed usb host controller
|
code | Apr 19, 2013 | Verilog | Alpha | GPL |
communication controller en,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionOpen Peer to Peer Interface, Wishbone to Aurora Bridge (OP2P).This interface logic has been designed to provide a very high performance multi-lane multi-gigabit fully non-transparent (independent address spaces) peer-to-peer (no master/slave or root-complex/endpoint relationships) communiction link where the rest of the communication stack is implemented in hardware. It can be used for both cable or backplnane links. The aim of the project is to provide a network-like, high-bandwidth, flexible, serial-I/O-based replacement of
op2p openpeertopeer interface
|
code | Nov 17, 2011 | VHDL | Beta | LGPL |
communication controller GA provenWishBone Compliant: NoLicense: LGPLDescriptionThe OPB SPI Core connects a FPGA to a DSP or Microprocessor as Slave-Device. This means all transfers are initiated by the Master an the FPGA-System only responds to read or write request.Features- OPB-Clock and SPI-Clock are complete independent- SPI can run faster than OPB if guaranteed that no TX-FIFO Underrunn or RX- FIFO Overrunn occure.- variable transfer length 2..32- Automatic CRC-Generation for Transmit and Receive Data (only 8,32Bit Shift-Register Width)Status- simulation tests done- Hardware tests on a Virtex-4 ML401 Board (LX25
opb spi slave
|
code | May 15, 2008 | VHDL | Stable | LGPL |
communication controller fo:Design done,FPGA provenWishBone Compliant: NoLicense: GPLOPB OneWire MasterThis is an easy-to-use OneWire master peripheral for the Microblaze OPB bus.The following functions will allow your program to access this peripheral easily:OneWireReset();data = OneWireRead();OneWireWrite(data);To install, simply unzip the file into your projects' pcores directory. The functions are in a text file in the onewire core directory.Features- Ease of useStatusFully operational and tested in hardware on a Spartan 3E with a DS2432 Secure EEPROM chip.
opb-compatible onewire master
|
code | Oct 9, 2007 | Verilog | Mature | GPL |
communication controller PGA provenWishBone Compliant: NoLicense: LGPLDescriptionopb_usblite - opb_uartlite replacement for Xilinx Microblaze processor written in VHDL and Verilog. The opb_usblite is compatible with the USB CDC profile and works with microsoft usbser virtual comport driver (VCD).ImplementationThe opb_usblite connects directly to the OPB bus. For users that are using the processor local bus (PLB) it is possible to add a PLB2OPB bridge. See the reference design for the Spartan 3E starter board.There are a few generics to configure the behavior of the core.C_SYSRST : std_logic := '1'; -- enable e
opb_usblite
|
code | Jun 8, 2010 | VHDL | Alpha | LGPL |
communication controller WishBone Compliant: NoLicense: GPLDescriptionOpen-source implementation of a versatile UDP/IP core for FPGAs.C/C++ Software library for configuring the core and transmitting standard C types like characters, integers, floats and doubles.Hardware interface for transmitting standard C types like characters, integers, floats and doubles.The UDP/IP core can transmit and receive data to and from any PC. The only requirement is the use of the configuration function provided by the software library in order to initialize an internal lookup table.
pc-fpga communication platform
|
code | Mar 7, 2014 | VHDL | Stable | GPL |
communication controller fo:FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLBlock DiagramDescriptionThe PCIe Engine is designed byNikhef - Amsterdam, The Netherlands- for theATLAS/ FELIX project. Its main purpose is to provide a simple Direct Memory Access (DMA) interface to the Xilinx Virtex-7 PCIe Gen3 hard block. The Engine is specifically designed for the 256 bit wide AXI4-Stream interface of theXilinx Virtex-7 FPGA Gen3 Integrated Block for PCI Express (PCIe).DMA read and writeThe main purpose of the PCIe Engine is therefore to provide an interface to standard FIFOs. This is the d
pcie gen3x8 dma for virtex7
|
code | Apr 30, 2015 | VHDL | Beta | LGPL |
communication controller FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionThe intention of the project is the development of a bus bridge, which enables the usage of WB compliant IP cores in a system, which uses the Processor Local Bus (PLB) as system and peripheral bus. The PLB-to-WB (PLB2WB) Bridge enables the access to slaves on the WB side for masters on the PLB side.Features:- separate clock domains for PLB and WB- separate resets for PLB and WB possible - PLB address pipelining (optional)- PLB fixed length burst transfers (only words, optional)- PLB line transfers (optional)- WB B.3 classic cycles (bl
plb-to-wb bridge
|
code | Feb 23, 2014 | VHDL | Alpha | LGPL |
communication controller nal info:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis core aims at implementing host side of IBM PS/2 keyboard and mouse communication protocol.To run testbench:%> iverilog -DSYS_CLOCK_HZ=100000 -o ps2_host_testbench ps2_host_testbench.v%> vvp ps2_host_testbench -lxt2%> gtkwave ps2_host_testbench.lxt
ps/2 host controller
|
code | Dec 19, 2011 | Verilog | Beta | LGPL |
communication controller ompliant: YesLicense:DescriptionCompact and optimized PS/2 controller for Keyboard and Mice.PS2 Core is build modular. There are one principal module that contains all communications logic, this can be used alone for hardware-only desings or used together with an wishbone bus top-level module for use in microprocessor systems.The main goal of PS2 Core is create an fully functional PS2 controller with a very efficient use of logic and resources but without loss any functionality. The wishbone top-level has been designed to be as small as possible, giving an very simple and easy to use interface
ps2 core
|
code | Dec 9, 2010 | Unknow | Beta | Unknown |
communication controller esign done,FPGA proven,Specification doneWishBone Compliant: YesLicense: GPLDescriptionThis is a Quad-SPI Flash controller. It currently works for me on the 4MB Spansion flash found within a Basys-3 development board. The controller hides much, although not all, of the flash chip interactions from the user behind wishbone read and write accesses. Indeed, reading from this memory is as simple as reading from the wishbone!For those not familiar with a Quad-SPI flash, the basic device is built upon a SPI interface. Such an interface consists of four wires: a chip select, a clock, a master out
quad spi flash controller
|
code | Jun 4, 2015 | Verilog | Beta | GPL |
communication controller nfo:FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThis is a very simple project for reading a quadrature device, such as an optical encoder. The counter is initialized to zero, and then counts up and down when valid quadrature is present at the inputsFeatures- Simple VHDL for beginners; well documented; shows use of hierarchical design.- Count limited only by bit length of counter vector; simple to count very large values- VHDL Implementation of Xilinx application note #012 (xapp012.pdf)- NPL project file for immediate evaluation in Xilinx ISE/Webpack tools- Questions/Comments:http:
quadrature decoder/counter
|
code | Apr 23, 2004 | VHDL | Stable | GPL |
communication controller ompliant: NoLicense: LGPLOverviewRapidIO is a standard protocol defined by the RapidIO Trade Association used to build high-speed embedded networks. It is an open standard and can be downloaded on www.rapidio.org.This project was founded 2013 when Bombardier decided to release some of its RapidIO IP-blocks to the general public. It contains basic IP-block to build switches, endpoints and switches with embedded endpoints.The main development has been moved tohttps://github.com/magro732/OpenRIO/to be able to use GIT instead of SVN.VHDL IPsRioSwitch.vhd - Contains a RapidIO switch IP.It has been
rapidio ip library
|
code | Jun 3, 2015 | VHDL | Beta | LGPL |
communication controller nfo:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis is a small UART to byte uPC interface (compliant with RS232 and RS3232 CI's).Ideal to use with soft/hard processors in a FPGA project.Designed to sync internal clock of RX path. Independent clock sources (TX/RX).uPC InterfaceTX:- TX data;- TX request;- TX end of send;RX:- RX data;- RX data ready (data valid);
rs232 1
|
code | Apr 29, 2015 | VHDL | Stable | LGPL |
communication controller tional info:WishBone Compliant: YesLicense: LGPLDescriptionTwo wire RS232 communication module capable of 5, 6, 7, 8 bit word communication, Parity bit, Parity bit Polarity, 1 and 2 stop bits. Integrated with transmit and receive buffer controlled through a WishBone interface. Besides the WishBone interface auxiliary signals are provided for Buffer status and can be used for interrupt driven routines.
rs232 2
|
code | Sep 11, 2013 | VHDL | Alpha | LGPL |
communication controller fo:Design done,FPGA provenWishBone Compliant: YesLicense: BSDDescriptionThis is a simple uart core which includes a baud generator. The core uses a fixed format: 1 start,8 data, 1 stop bit.+ baudX8/X16 mode selects in runtime
rtfsimpleuart
|
code | Nov 16, 2013 | Verilog | Beta | BSD |
communication controller ogDevelopment status:MatureAdditional info:ASIC proven,Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense:OverviewRXAUI interface uses two 6.25Gbps SERDES lanes to carry 10GE, instead of using four 3.125Gbps SERDES lanes.This enables a high port count lower power multi 10GE SOCs.This projects provides the specifications of RXAUI interface and the verilog code for an adapter froma XAUI to RXAUI interface
rxaui interface and xaui to rxaui interface adapte
|
code | Oct 22, 2009 | Verilog | Mature | Unknown |
communication controller ishBone Compliant: NoLicense: OthersDescriptionStaus:Simulations are workingCurrently the stack is confusing to use, I'm working on this.I do not intend to push developer versions of the code to opencores if you are interested in observing the developer cores I work primarily through github:Nysa SATA GithubTODO: Modify Link layer so that it only instantiates one instance of a single scrambler, not twoCode Organization:rtl/sata_stack.v (Top File that applications interface with)sata_defines.v (Set defines for the stack in here)generic/ (small modules used throughout the design)/blk_mem.v (wraps
sata controller
|
code | May 12, 2015 | Verilog | Beta | Others |
communication controller WishBone Compliant: NoLicense: LGPLDescriptionSATA PHY layer which can be implemented as either a host or device for Xilinx 6 series devices.A host controller core with AXI interface is available, contact me for more information.
sata phy
|
code | Mar 10, 2014 | Verilog | Stable | LGPL |
communication controller :StableAdditional info:WishBone Compliant: NoLicense: BSDDescriptionThis is a scan based serial communication block designed to safely and easily move data onto and off of a chip with a minimal number of pins. Performance is not a priority, however, we have found it to be sufficiently fast most any student project. It has been used, successfully, on many tapeouts.Included is an on-chip synthesizble scan block and an off-chip testbench to interact with it.The on-chip scan block has six pad signals that go off-chip, and a configurable number of on-chip data input and output signals. Data signals
scan based serial communication
|
code | Jul 22, 2010 | Verilog | Stable | BSD |
communication controller StableAdditional info:Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLIntroductionThe "sd card controller" is a Secure Digital Card Host Controller, which main focus is to provide fast and simple interface to SD/SDHC cards. One of the main goal with this project is that the controller should be usable as a system disk contain a file system. Therefore the core has been developed with features a system with operative system will benefit from.The design also include a simplified model of a SD-card to test against.http://www.opencores.org/?do=project&who=sdcar
sd card controller
|
code | May 3, 2013 | Verilog | Stable | LGPL |
communication controller ign done,FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThe SD/MMC Bootloader is a CPLD design that manages configuration and bootstrapping of FPGAs. It is able to retrieve the required data from SecureDigital (SD) cards or MultiMediaCards (MMC) and manages the FPGA configuration process. SD cards as well as MMCs are operated in SPI mode which is part of both standards thus eliminating the need for dedicated implementations. The SD/MMC Bootloader fits both. Beyond configuration, this core supports a bootstrapping strategy where multiple images are stored on one single memory card.For
sd/mmc bootloader
|
code | Aug 19, 2009 | VHDL | Stable | GPL |
communication controller :Design done,FPGA provenWishBone Compliant: YesLicense: GPLDescriptionSD (Secure Digital) and MMC memory card controller with Wishbone slave interface. Handles all aspects of card initialization, 512 byte block read, and block write. Hides the complicated SD/MMC memory interface, and presents the user with a simple Fifo interface. Provides transfer speeds up to 24Mbps.If combined with the fpgaConfig project:http://opencores.org/project,fpgaconfigthen it is possible to configure an FPGA from SD memory. If the FPGA configuration includes this core (spiMaster) and a softcore processor, then the p
sd/mmc controller
|
code | Nov 24, 2014 | Verilog | Stable | GPL |
communication controller :WishBone Compliant: NoLicense: BSDDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
sdhc self configuring core
|
code | Jan 4, 2011 | VHDL | Mature | BSD |
communication controller :BetaAdditional info:Design done,FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThe SATA core implements the Command, Transport and Link Layers ofthe SATA protocol and provides a Physical Layer Wrapper for the GTXtransceivers. The Physical Layer Wrapper also includes an Out of BandSignaling (OOB) controller state machine which deals with initializationand synchronization of the SATA link. It can interface with SATA 2Winchester style Hard Disks as well as Flash-based Solid State DrivesThe core provides a simple interface to issue READ/WRITE sector commands.The DATA interface is 32-bit
serial ata host bus adapter core for virtex 6
|
code | Nov 12, 2014 | Verilog | Beta | GPL |
communication controller mpliant: NoLicense:Specifications- as small as possible to fit in a Xilinx CPLD- fixed 9600 baudrate for this version- 1 start bit, 8 data bits, 1 stop bit data stream format- both interrupt-based and polling user interfaceDescriptionSerial UART open source core. The design is engineered for use as a stand alone chip or for use with other of our cores. The reason for developing the Serial UART core is the fact, that asynchronous serial communication is very common that almost every machine understands it.Also, for OCRP-1, we needed a way of communication with a host computer, to make it availa
serial uart 1
|
code | Apr 14, 2010 | Unknow | Stable | Unknown |
communication controller ne Compliant: YesLicense:DescriptionDesign in VHDL:This UART is able to Transmit/Receive bytes in the configuration:1 start bit - no parity - 1 stop bit.It can be commanded by a microcontroller, or by other IP core.It is not suited to interface a modem as there is no control handshaking (CTS/RTS).It does'nt contain FIFO for emit/receive.Features WISHBONE interface in 8-bit data bus Two clock: one for wishbone interface, one for RS232 bitstream generation Baudrate divisor from 1 to 65536 (generic parameter set at integration time)Synthesis resultsXilinx: Spartan: XCS10-TQ144-4: 71 flip-flop
serial uart 2
|
code | Aug 26, 2010 | Unknow | Mature | Unknown |
communication controller ditional info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionA device that convert a PC parallel port to serial , then serial to parallel. It can transport all signals of the PC parallel port into a single wire. Moreover, the data wire is intended to be a fiber optic in the final form of the project.OverviewProject idea :I would like to show you how I control my CNC equipment (which is usually controlled by a PC parallel port) with a single wire using a special FPGA device.The FPGA device serialize the control signals from the parallel port , transport
serializer/deserializer for audio fiber optic
|
code | Apr 28, 2014 | VHDL | Mature | LGPL |
communication controller n doneWishBone Compliant: NoLicense: GPLDescriptionGeneric SGMII / 1000X module that can be connected to any transceiver technology.This core has been verified with 88E1111 Phy- Autonegotiation- Rx & Tx in 1000Mbps mode- Slow bit rate ~ 10MbpsI don't have adequate tools to verify at full speed.I appreciate any effort to verify and report bugs.Everyone is welcome to try this core.I can be contacted at jefflieu@fpga-ipcores.com for other license/support/bring-up issue. Btw, if you think it's useful to you, you can show your appreciation by donating to Paypal account: jefflieu@fpga-ipcores.co
sgmii
|
code | Aug 1, 2014 | Verilog | Beta | GPL |
communication controller n done,FPGA provenWishBone Compliant: NoLicense: LGPLFeaturesAES3 / SPDIF compatible receiverlocks to any sample rate from 20kHz to 100kHz with 50MHz master clock and reg_width = 5locks to any sample rate from 20kHz to 200kHz with 100MHz master clock and reg_width = 6very compact (only 39 macrocells with reg_width = 5)Newss2009/08/31 - Fixed previous fix - removed redundant bbbr_shift_reg_proc.2009/08/30 - Fixed bug preventing the receiver to lock on input signal in case that shortest pulse length was longer then master clock/2^reg_width. Also simple testbench has been uploaded to SVN.Design i
simple aes3/spdif receiver
|
code | Dec 20, 2009 | VHDL | Stable | LGPL |
communication controller provenWishBone Compliant: NoLicense:DescriptionSimple asynchronous serial controller (aka UART). Includes 4byte receive and a 4 byte transmit FIFO (FIFO size can be easilyadjusted). External baud rate generator (included). Very small.Features- Implemented in Verilog- Flow Control (CTS/RTS)- 1 start bit, 1 stop bit, NO parity- 4 byte receive FIFO- 4 byte transmit FIFO- Fully Synthesisable- 102 LUTs in a Spartan IIStatusThis core is fully functional and completed.It was verified in hardware in an XESS XVC800 FPGA prototypeboard with a Maxim RS232 line driver.this_ip_core_is_provided_by:
simple asynchronous serial controller
|
code | Mar 30, 2006 | Verilog | Stable | Unknown |
communication controller sign done,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis core is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.Minimal UART coreNo hardware FIFONo modem control signals (just TX and RX)Fully synchronous designConfigurable baud rateMeant to interface with Milkymist CSR bus and an edge sensitive interrupt controller (like that of LatticeMico32)More informationCore documentationCSR bus specifications
simple rs232 uart
|
code | Aug 7, 2010 | Verilog | Stable | GPL |
communication controller GA provenWishBone Compliant: NoLicense:DescriptionSimple PCM Interface. Allows to interface to such popular deviceslike TI DSPs (via McBSP bus) in PCM mode. Of course many moreapplications. Very small and simple core.Features- Implemented in Verilog- Frame Start position adjustable- full 16 bit frames- 1 Receive holding register- 1 Transmit holding Register- Fully Synthesisable- Can handle PCM streams at any rate, 128KHz to 100MHz.- 38 LUTs in a Spartan IIStatusThis core is fully functional and completed. It was tested ona XESS XCV800 board interfacing to a proprietary device witha TI DSP, exc
single slot pcm interface
|
code | Feb 10, 2004 | Verilog | Stable | Unknown |
communication controller Bone Compliant: NoLicense:DescriptionThis project's aim is to provide the smart-card side of an ISO 7816-3 interface.Features- Detects reset and sends ATR (Answer to Reset).- Translates between the raw ISO7816-3 serial data and a Wishbone compliant format.- Provides examples modules that interpret commands sent over the interface.Status- A working prototype is ready.- No work is currently being done.- Current version available for download fromhttp://www.opencores.org/pdownloads.cgi/list/iso7816-3(the download tab).
smartcard interface iso7816-3
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
communication controller one Compliant: YesLicense:DescriptionThe System Management Bus (SMBus) is a two-wire interface through which simple system and power management related chips can communicate with the rest of a system. SMBus provides a control bus for system and power management related tasks. The SMBus is a multi-master bus, meaning that more than one device capable of controlling the bus can be connected to it. This core is based on the SMBus 2.0 specification, and utilizes its address resolution protocol using an 128-bit unique device identifier (UDID).Features- SMBUS 2.0 Compliant- 128-bit UDID- Hardware pa
smbus_if
|
code | Jan 22, 2004 | Unknow | Planning | Unknown |
communication controller WishBone Compliant: YesLicense: LGPLFeatures- SpaceWire CODEC- testbench and stimuli to cover the exception conditions described in the standard- WISHBONE wrapper (optional)- Triple Modulo Redundant (optional)- Error Detection and Correction for TX and RX FIFOs (optional)- makefile for simulation and synthesis (vmake utility)StatusTentative release of the following documentation:- Architectural Requirement Specification (ARS)- Architectural Verification Plan (AVP)- Architectural Design Report (ADR)- Architectural Verification Report (AVR)In accordance with ECCS-Q-60-0
spacewire
|
code | Oct 17, 2011 | VHDL | Planning | LGPL |
communication controller o:Design doneWishBone Compliant: NoLicense: GPLDescriptionSpaceWire Light is a SpaceWire encoder-decoder with FIFO interface.It is synthesizable for FPGA targets (up to 200 Mbit on Spartan-3).An optional AMBA bus interface can be used to implement the core inLEON3-based designs.OverviewThe goal is to provide a complete, reliable, fast implementationof a SpaceWire encoder-decoder according to ECSS-E-50-12C.The core is "light" in the sense that it does not provide additionalfeatures such as RMAP, routing etc.SpaceWire Light supports two application interfaces. One interfaceprovides FIFO-
spacewire light
|
code | Nov 1, 2013 | VHDL | Beta | GPL |
communication controller nfo:Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe SPDIF interface (Standard IEC958 "Digital audio interface") allows transmission of digital audio signals between devices in a digital format. The goal of this project is to allow a controller/cpu with Wishbone interface to transmit and receive digital audio.Features- Separate transmitter and receiver- Dual sample buffer architecture with configurable buffer size- Access to channel status and subframe bits- Supports both 16bit and 32bit data busStatus- SPDIF Interface V1.1 has been released.
spdif interface
|
code | Oct 14, 2007 | VHDL | Stable | LGPL |
communication controller ishBone Compliant: YesLicense:DescriptionSPI (Serial Peripheral Interface) is serial, synchronous, full duplex communication protocol. It is widely used as a board-level interface between different devices such as microcontrollers, DACs, ADCs and others.This core is SPI/Microwire compliant master serial communication controller with additional functionality.Features- Full duplex synchronous serial data transfer- Variable length of transfer word up to 32 bits- MSB or LSB first data transfer- Rx and Tx on both rising or falling edge of serial clock independently- 8 slave select lines- Fully stat
spi controller core
|
code | Jun 5, 2015 | Unknow | Stable | Unknown |
communication controller ditional info:WishBone Compliant: NoLicense: LGPLDescriptionModified SPI Master Core by Simon Srot. This core is designed for use with the Spatan 3E, 3A, and 3AN starter kits, for interfacing with the onboard Linear Technology Analog to Digital and Digital to Analog convertors.
spi controller for ad/da chips on s3e/a/an starter
|
code | Dec 13, 2009 | Verilog | Alpha | LGPL |
communication controller o:Design done,FPGA provenWishBone Compliant: YesLicense:DescriptionEnhanced version of the Serial Peripheral Interface available on Motorola's MC68HC11 family of CPUs.Enhancements include a wider supported operating frequency range, 4deep read and write fifos, and programmable transfer count dependent interrupt generation.As with the SPI found in MC68HC11 processors the core features programmable clock phase (CPHA) and clock polarity (CPOL). The core features an 8bit wishbone interface.Very simple, very small.Features- Compatible with Motorola's SPI specifications- Enhanced Motorola MC68HC11 S
spi core
|
code | Jul 15, 2014 | Verilog | Stable | Unknown |
communication controller info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis project implements a controller for standard SPI flash ROMs (e.g. ST M25Pxx, Atmel AT25Fxxxx, etc.).For a design using an (embedded) microcontroller it is often a requirement to store user or configuration information. For this purpose the configuration ROM of the FPGA is a first-choice candidate because it is already there and usually has some space left. By using the VHDL module introduced in this project the microcontroller firmware is greatly simplyfied by moving the complexity of accessing the
spi flash controller
|
code | Jul 17, 2014 | VHDL | Beta | GPL |
communication controller n doneWishBone Compliant: NoLicense: LGPLthis core represents an minimalistic SPI receiver for ADC like AD747x.one have:- tunable sequence len, loaded data slice of sequence,- shut-down short sequense generation- ability continued sequence mode - without frame entry/completing- ready output for locking received data- shifht clock output provide ability to build parallel vector receivers byadding needed shift registersSyntesis on QuartusII 8.1 Web for EP1C3 16bit sequense with 10 loaded bit ocupies 31 cells
spi master receiver for adc ad747x
|
code | Jun 23, 2009 | VHDL | Stable | LGPL |
communication controller info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDevelopment StatusPlease if you are using this core, report if the marked bugs (CPHA='1', bit alignment) are solved for your toolchain.You can send me e-mail toI have confirmation from people using Xilinx ISE 13.1, 12.4 and 12.1 with WebPack, Altium + ISE 12.3, Synopsys and Altera tools.I would like to know if the VHDL style used in this core works for your toolchain, and if not, what seems to be the problem.My goal is to find a description style that is as friendly as possible to synthesis tools.The scope screen
spi master/slave interface
|
code | Jul 25, 2014 | VHDL | Stable | LGPL |
communication controller provenWishBone Compliant: NoLicense: LGPLDescriptionAn implementation of serial Linear Technologies LTC2624 Quad 12bit DAC using SPI 32bit data transfer method. The core is FPGA proven, works on Spartan-3E Starter Kit.If someone would like to improve the project (i.e. add WishBone support, etc), please contact project maintainers.
spi serial dac interface
|
code | Aug 6, 2010 | VHDL | Stable | LGPL |
communication controller Additional info:WishBone Compliant: NoLicense: LGPLDescriptionThis Project provides SPI Mode-3 Master & Slave modules in Verilog HDL.The data width is 8 bits. It is synthesized for Xilinx Spartan 3E, & can be clocked upto 225MHz. Maximum SPI Clock (sck) Frequency is 112MHz, which is derived from Main Clock. The scaling factors for SCK from master clock can be 2, 4, 8 & 16, which can also be reduced further.SPI Master Module is coded in FSM (finite state machine)The slave module is designed simply like a shift register.The interface signals are SCLK (or SCK), MOSI, MISO and SS. SCK
spi verilog master and slave modules
|
code | Mar 6, 2015 | Verilog | Stable | LGPL |
communication controller shBone Compliant: NoLicense: LGPL
spigpio
|
code | Dec 21, 2009 | Verilog | Alpha | LGPL |
communication controller WishBone Compliant: NoLicense: LGPLDescriptionspislave is a minimalist spislave IP core that provides the basic framework for the implementation of custom spislave devices. The core provides a means to write up to 256 8-bit registers. These registers can be connected to the users custom logic, thus implementing a simple control and status interface. A full Icarus Verilog test bench is available.Test it for yourself, using the free Icarus Verilog simulator and the free GTKWave wave form viewer.Download and install Icarus Verilog. -Download and install GTKWave.- Download the project files. - For
spislave
|
code | Mar 3, 2010 | Verilog | Stable | LGPL |
communication controller esign done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project provides a full function SPI master interface. It provides for a FIFO buffered transmit and receive data path. Further, a ninth bit in the transmit data controls whether the SPI input data (MISO) is saved into the receive FIFO. This allows this SPI interface module to easily support serial memory devices, whose outputs during command and address loads are undefined, and devices like serial ADCs, whose output data is valid on each transfer cycle. In addition, the module automatically asserts and deasserts the slave
spixif
|
code | May 19, 2015 | Verilog | Mature | LGPL |
communication controller ishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
sport interface
|
code | Feb 17, 2015 | Verilog | Planning | LGPL |
communication controller esign done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project provides a slave interface for a Synchronous Serial Peripheral (SSP) as found on NXP LPC21xx microprocessors. The implementation provided here supports a 16-bit frame size. Of the 16 bits defined in the serial interface, the first three bits function as a register address, the fourth bit is a read/write control bit, and the remaining 12 bits function as read/write data.This format is used in several commercial products to interface a LPC2138/LPC2148, or other processor equipped with an SSP or SPI master interface,
ssp_slv
|
code | Apr 26, 2014 | Verilog | Mature | LGPL |
communication controller Design done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project provides a full-function UART. The UART provides direct support for a two-wire or a four-wire RS-232 style full-duplex serial interface, but it also provides direct support for a half-duplex RS-485 serial interface. In the RS-232 mode, automatic flow control can be enabled, and the UART will assert RTS when data is available to transmit and wait for CTS to be returned before the transmitter is enabled. In the RS-485 mode, the drive enable of the RS-485 driver is asserted and deasserted automatically.In both opera
ssp_uart
|
code | Apr 26, 2014 | Verilog | Mature | LGPL |
communication controller info:FPGA provenWishBone Compliant: NoLicense: GPLDescriptionA very simple project for controlling any standard 4 or 6 wire stepper motor. Only difference between 4 and 6 wire mode is the MOSFET driver circuit (6 wire steppers are considerably simpler...)Features- Simple VHDL for beginners; well documented- NPL project file for immediate evaluation in Xilinx ISE/Webpack tools- Quickly get a stepper motor running for testing or prototyping- Questions/Comments:http://www.franks-development.comProject Contents- StepperMotor.npl, project file for Xilinx ISE/Webpack- StepperMotorDrive.vhd, source
stepper motor controller
|
code | Dec 17, 2005 | VHDL | Stable | GPL |
communication controller ditional info:WishBone Compliant: YesLicense: LGPLDescriptionRS232 Protocol 16550D uart (mostly supported)- language : systemVerilog IEEE 1800-2005 (Quaruts2-9.1sp1 Support)- scale : fpga cyclone3 800cell, >50Mhz- bus : wishbone-TODO:Lin's automotive standards-> subset of transport layer circuit
systemverilog uart16550
|
code | May 5, 2010 | Verilog | Alpha | LGPL |
communication controller :Design done,FPGA provenWishBone Compliant: NoLicense: OthersDescriptionTCP Socket is a TCP/IP stack implementation. The core acts as a server, allowing a remote client to establish a bidirectional TCP socket connection directly to logic within your FPGA.Easily add network connectivity to your FPGANo need for a soft CPUSmall footprint (less than 800 LUTs in Spartan 6)Free Open Source Solution (MIT license)Connect to your FPGA with a web browser or telnet clientTCP Socket is implemented in C, and is compiled into synthesisable Verilog using theChipsdevelopment environment (included). A precompi
tcp/ip socket
|
code | Sep 22, 2014 | Verilog | Alpha | Others |
communication controller pliant: YesLicense:Features- 8 bit parallel backend interface- Needs external Framer- Supports E1 bit rate and time slots (32 time slots or 32 DS0 channels at bit rate 2.048Mbps)- Supports ST-Bus (Serial Telecom bus) interface.- Routes time slots to/from HDLC controller via the backend interface and software support or to/from memory.- Supports read for all or partial TDM slots from the ST-bus.- Supports write for all or partial TDM slots to ST-bus.- Supports two serial lines one input and one output.Mli>9. It supports N—64 mode (i.e. it supports sampling (or writing) to N consecutive
tdm controller
|
code | Dec 5, 2001 | Unknow | Stable | Unknown |
communication controller o:WishBone Compliant: NoLicense:DescriptionThe TDM_Switch core is a non-blocking digital switch that has a capacity of 256 x 256 channels at 2.048 Mb/s. Some of the main features are: Processor Mode and input offset delay.Features- 256 x 256 channel non-blocking switching at 2.048 Mb/s- Accept 8 serial data streams of 2.048 Mb/s- Per-stream frame delay offset programming- Connection memory block programming- Microprocessor InterfaceStatusThis IP core is synthesized for Xilinx SPARTAN-II series FPGA€™s, fit at xc2s50-6tq144 device and the post place & route simulation model simul
time slot interchange digital switch
|
code | Dec 19, 2013 | Verilog | Stable | Unknown |
communication controller sign done,FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionThis is an 8 bits SPI master controller. It features optionalprogrammable baud rate and SPI mode selection. Altera SPI doesn'tsupport programmable rate which is needed for MMC SPI, nor doesXilinx SPI.It is small. It combines transmit and receive buffer and remove unusedfunctions. It takes only 36 LEs for SPI flash controller, or 53 LEs forMMC SPI controller in an Altera CycoloneIII SOPC project. While AlteraSPI takes around 143 LEs. OpenCores SPI takes 857 LEs and simple SPItakes 171 LEs.It doesn't generate SS_n signal. Please
tiny spi
|
code | Jan 9, 2011 | Verilog | Beta | LGPL |
communication controller ne Compliant: YesLicense:Descriptionuart16550 is a 16550 compatible (mostly) UART core.The bus interface is WISHBONE SoC bus Rev. B.Features all the standard options of the 16550 UART: FIFO based operation, interrupt requests and other.The datasheet can be downloaded from the CVS tree along with the source code.StatusAug 2001Core updated and some more bugs fixed. It is now being verified more thoroughly but it is mostly usable.27.05.2001Documentation and core code are updated.17.05.2001The core is finished unless more bugs are found.The test bench is very basic yet and is asking for your help
uart 16550 core
|
code | Oct 2, 2011 | Unknow | Stable | Unknown |
communication controller PGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionSimple uart core with wishbone slave interface and programmable baud rate generator, based on clock speed and desired baud rate
uart block
|
code | May 5, 2012 | VHDL | Mature | LGPL |
communication controller ishBone Compliant: YesLicense: LGPLIntroductionTransmitter and receiver in FPGA for converting UART to/from audio fiber optics.Photo of an assembled board with annotationIt is wishbone compliant because using an UART , it can be added to a Wishbone UART and be of interest for a Wishbone implementer. This core is well tested.They is two versions:-Fixed baudrate (0 to 2.5Mbit/s) - a full set of 2 transmitter and 2 receiver fit in a single XC9572XL CPLD-variable baudrate 50Mhz/n*p where n between 20 to 100 fiber optic baudrate and p>=1 baudrate divider. Fit 1x transmiter + rec
uart to/from fiber optic
|
code | Dec 24, 2013 | VHDL | Mature | LGPL |
communication controller ional info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: BSDDescriptionHave you ever needed a fast and easy way to test your new FPGA board?You know you have all the interfaces but it will take time to finish the software or the verification just to start debugging.This core might be what you are looking for.The UART to Bus IP Core is a simple command parser that can be used to access an internal bus via a UART interface. The parser supports two modes of operation: text mode commands and binary mode commands. Text mode commands are designed to be used with a hyper te
uart to bus
|
code | Sep 11, 2013 | Verilog | Mature | BSD |
communication controller WishBone Compliant: NoLicense: LGPLDescriptionThe UART to SPI IP Core include a simple command parser that can be used to access an internal bus of SPI via a UART interface. This IP can be used understand the SPI transaction protocol. The internal bus is designed with address bus of 16 bits and data bus of 8 bits. The core implements a very basic UART transmit & receive blocks which share a common baud rate generator and a command parser. The parser supports text mode of command parsing. Text mode commands are designed to be used with hyper terminal software and enable easy access to the
uart to spi
|
code | Jan 31, 2013 | Verilog | Mature | LGPL |
communication controller hBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
uart with plb interface
|
code | Jun 3, 2011 | VHDL | Stable | LGPL |
communication controller sign done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionImplements a 16550/16750 UART core.Features- Full synchronous design- Pin compatible to 16550/16750- Register compatible to 16550/16750- Baudrate generator with clock enable- Supports 5/6/7/8 bit characters- None/Even/Odd parity bit generation and detection- Supports 1/1.5/2 stop bit generation- None or 16/64 byte FIFO mode- Receiver FIFO trigger levels 1/4/8/14/16/32/56- Control lines RTS/CTS/DTR/DSR/DCD/RI/OUT1/OUT2- Automatic flow control with RTS/CTS- All interrupt sources/modesStatus- Test script creation done, should cove
uart16750
|
code | May 6, 2013 | VHDL | Stable | LGPL |
communication controller :WishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of a UDP/IP core! Area-optimized for direct PC-FPGA communication!An advanced/versatile version of the core is included in the PC-FPGA Communication Platform project!
udp/ip core
|
code | Mar 7, 2014 | VHDL | Stable | GPL |
communication controller info:Design done,FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionA simple full speed USB device core with 4 endpoints.Comes with virtual COM port demo sw.More details to follow.
usb device core
|
code | Mar 27, 2014 | Verilog | Alpha | LGPL |
communication controller o:WishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
usb to uart
|
code | Feb 19, 2013 | Verilog | Planning | LGPL |
communication controller info:WishBone Compliant: YesLicense: LGPLOverviewThis is a modular IO component. With this modular IP design tou can get multiple (by default up to 8) IO channels. Each channel has a RX and TX FIFO with depth 31 bytes. The FIFO is based on the Versatile FIFO also available from OpenCores. All IO channels have a common bus interface compatible with 16550 UART. This makes software integreation easierThis IP support many different types of IO16550 compatible UARTLED controlRGB LED controlRC5 compatible IR receiverOther can be added
versatile io
|
code | Apr 23, 2009 | Verilog | Planning | LGPL |
communication controller gn done,FPGA provenWishBone Compliant: NoLicense: OthersDescription=== What's "vSPI"? ===vSPI is a Verilog implementation of an SPI slave. Think of it as a very fast serial port. It can reliably transfer data at 27.9 mbps on an Atlys FPGA devkit (a Spartan-6 with a 100 MHz system clock).You can use it to send data between your FPGA/ASIC project and other devices, such as a desktop computer I'm using it to send data between a self-flying RC-helicopter and my PC. If all goes according to plan, I'll be able to see live video from the helicopter's camera on my PC. I'll also be able to inject test
vspi
|
code | Mar 26, 2012 | Verilog | Stable | Others |
communication controller ne Compliant: YesLicense: LGPLDescriptionImplements a 16550/16750 UART. The UART core is fully based on another OpenCores project: UART_16750 by Sebastian Witt.Please find there the documentation regarding the Uart core.The interface is now compatible with a 8-bit WishBone bus.With GHDL simulator simply run:./ghdl_uart.batUsing any other simulator, before starting the simulation the following perl script must be run:uart_test_stim.pl > filename.txtwhere filename.txt is the name selected in generic "stim_file" inside wb8_uart_transactor.vhd.A correct simulation should exit with an assertion
wb_uart
|
code | Feb 14, 2010 | VHDL | Beta | LGPL |
communication controller sign done,FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionWishbone to LPC (Low-Pin Count) Bridge, includes master and slave modules. Supports 8-bit I/O Read and Write cycles, 8-bit Memory Read/Write cycles, DMA cycles, and up to 32-bit Firmware memory read/write cycles. Serial IRQ support is also provided.None of this has been tested (yet) with a third-party LPC Peripheral or Host.Features- Compliant to Intel(r) Low Pin Count (LPC) Interface Specification Revision 1.1- Wishbone Slave to LPC Host Module- Memory Read and Write (1-byte)- I/O Read and Write (1-byte)- Firmware Memory Re
wishbone lpc host and peripheral bridge
|
code | Jan 31, 2012 | Verilog | Stable | LGPL |
communication controller one,FPGA provenWishBone Compliant: YesLicense: BSDConceptOverviewIf your projects are like ours, you always need the capability to set configuration bits for internal components, or externally monitor the status of other components. We have implemented this in many different ways across dozens of projects. We hope Wrimm is the last time we re-develop this same functionality.Every new design needs a different set of registers. Frequently improving an existing design requires adding or changing a register which also requires some level of re-development of the register logic.The goal of Wrimm
wishbone register bank intercon multi-master multi
|
code | Jul 27, 2014 | VHDL | Beta | BSD |
communication controller al info:Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe Wishbone SD Card Controller IP Core is MMC/SD communication controller designed to beused in a System-on-Chip. The IP core provides a simple interface for any CPU with Wishbonebus. The communication between the MMC/SD card controller and MMC/SD card is performedaccording to the MMC/SD protocol.IntroductionThis core is based on the "sd card controller" project fromhttp://opencores.org/project,sdcard_mass_storage_controllerbut has been largely rewritten. A lot of effort has been putforth to make
wishbone sd card controller
|
code | Sep 10, 2013 | Verilog | Beta | LGPL |
communication controller ional info:WishBone Compliant: NoLicense: LGPLDescriptionthis core work whit uart.it is used to communicate as a wishbone master, it also contains slaves.these slaves are made to be a bridge between wishbone bus and I/O modules.the slaves handle the wishbone signal , addresses etc.it can be modded to work for an 8 bit processor.instruction set based.
wishbone uart controller 8 bit
|
code | May 27, 2013 | VHDL | Beta | LGPL |
communication controller ovenWishBone Compliant: NoLicense: LGPLOverviewYANU (Yet Another Niosii Uart) has been built from scratch with the efficiency in mind in term of CPU load. A complete uCLinux TTY driver has been developed.Its main feature is that it has a TX and and RX FIFO buffers with a predictive "event to interrupt" generation.This will lead to a lower CPU usage needs in high efficiency point to point communication links at high baud rates.It has a fractional prescaler so that almost any baud rate can be generated from any input clock frequency.It detects all the common asynchronous errors (Parity,Framing,O
yanu-uart with predictive interrupt events on rx/t
|
code | Oct 17, 2009 | VHDL | Stable | LGPL |
COPROCESSOR | |||||
coprocessor License:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluent.orgfor more info.Several cores are provided in Verilog, Vhdl, and C. If you don't see the configuration you need, chances are we can easily generate it for you.The Reconfigurable Computing Array (RCA) is a platform for dynamic reconfigurable computing. RCA consists of a fine-grained array of reconfigurable "square" logic tiles. Similar to an FPGA CLB, a tile can be programmed to perform a
cf reconfigurable computing array
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
coprocessor ant: NoLicense: LGPLDescriptionA fabric coprocessor module (FCM) for the PowerPC 405 CPU providing code execution timestamp, allowing to measure precisely CPUcode execution times.
cpu code execution timestamp
|
code | Jun 11, 2010 | VHDL | Mature | LGPL |
coprocessor : NoLicense:DescriptionThis is a single precision floating point unit. It is fully IEEE 754 compliant. It can currently perform Add/Sub, Mul and Divide operations, as well as integer to floating point and floating point to integer conversions. It supports four rounding modes: Round to Nearest Even, Round to Zero, Round to +INF and Round to -INF.There is now also a separate FP compare unit. It is located in the fpu/fcmp directory.Motivation- A 100% IEEE 754 compliant Floating Point Unit- Usable by the OR1K CPU- Options to extend the core- Free !CompatibilityTo the best of my knowledge the FPU
floating point unit
|
code | Sep 2, 2014 | Verilog | Stable | Unknown |
coprocessor t: YesLicense: LGPLDescriptionThe Xgate Co-processor Module, Xgate, is a 16 bit programmable RISC processor that is managed by a host CPU to reduce the host load in handling interrupts. Because the Xgate is user programmable there is a great deal of user control in how to preprocess data from peripheral modules. The module may be configured as a simple DMA controller to organize data such that the host only deals with whole messages and not individual words or bytes. The Xgate may also deal with higher levels of messaging protocols than the peripheral hardware recognizes. Encryption algorithm
xgate
|
code | May 22, 2012 | Verilog | Alpha | LGPL |
CRYPTO CORE | |||||
crypto core liant: NoLicense:DescriptionSimple AES (Rijndael) IP Core.I have tried to balance this implementation and to trade off size and performance. The goal was to be able to fit in to a low cost Xilinx Spartan series FPGA and still be as fast as possible.As one can see from the implementation results below, this goal has been achieved !Other Implementations of this standard with different key sizes (192 & 256 bit) and performance attributes (like a fully pipelined ultra-high-speed version) are commercially available fromASICS.ws.Even though no official testing has been performed we
aes rijndael ip core
|
code | Oct 23, 2013 | Verilog | Stable | Unknown |
crypto core WishBone Compliant: YesLicense:Features- SystemC and Verilog code is provided- Verified using TLM(Transaction Level Modelling Style)- Encoder and decoder in the same blockThis work is given by Universidad Rey Juan Carlos (Spain)www.gdhwsw.urjc.esStatus- 128 bits low area implementation uploaded- 192 bits low area implementation uploadedDescriptionHere you can find two different implementations of AES encryption algorithm:- A 128 bits AES algorithm focusing on very low area applications.- A 192 bits AES algorithm focusing on very low area applications.The 128 bits low area implementation takes
128/192 aes
|
code | Apr 9, 2010 | Verilog | Stable | Unknown |
crypto core Bone Compliant: NoLicense:DescriptionThis is a VHDL implementation of Triple-DES (pipelined) and DES cryptographic algorithms, as recommended by NIST.In our tests the core has been verified to comply with thehttp://csrc.nist.gov/publications/fips/fips46-3/fips46-3.pdf(NIST FIPS 46-3) (DES)recommendation.This core is provided by:http://www.coretexsys.com(Coretex Systems, LLC)Features- Pipelined architecture.- VHDL source code.- Verified in hardware.- Small footprint (the numbers are for Xilinx Virtex 2 FPGA)- 1742 slices,- 302 IOBs,- no block RAMs,- 1 GCLK.- Fast processing (the numbers assume
3des triple des/des vhdl
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
crypto core PGA proven,Specification doneWishBone Compliant: NoLicense: OthersDescriptionAES (Advanced Encryption Standard) is a specification published bythe American National Institute of Standards and Technology in 2001, as FIPS 197.[1]AES describes a symmetric-key algorithm, in which the same key is used forboth encrypting and decrypting the data. The block size is restricted to 128 bits.The key size can be 128, 192, or 256 bits. [1]AES operates on a 4—4 matrix of bytes, called the state. Some rounds of transformationconverts the plaintext into the final cipher-text. The number of rounds is six
aes
|
code | Jul 25, 2014 | Verilog | Stable | Others |
crypto core : NoLicense:DescriptionThe NIST has selected cipher Rijndael as AES on October 20, 2000 based on the combination security, performance, efficiency, ease of implementation and flexibility. The algorithm has been designed by Joan Daemen and Vincent Rijmen. Rijndael is a symmetric-key iterated block cipher, length of the block is 128 bits and length of the key can be specified to be 128, 192 bit, 256 bits.Status-encryption block(done)-decryption block(done)You can also download the pdf formathereCompabilityThe core complies to FIPS 197. This document can be downloaded here :FIPS 197
aes rijndael
|
code | Dec 4, 2002 | Unknow | Planning | Unknown |
crypto core ne,Specification doneWishBone Compliant: NoLicense:Consecutive AES coreDescription of project..Features- AES encoder- 128/192/256 bit- AES decoder- 128/192/256 bitStatus- Key Expansion added- Encoder added- Decoder added- Documentation added
aes core modules
|
code | Nov 24, 2011 | VHDL | Stable | Unknown |
crypto core ant: NoLicense: GPLDescriptionThree different implementations of the AES-128 (VHDL).
aes cores compact
|
code | Sep 29, 2013 | VHDL | Stable | GPL |
crypto core done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionWhile there are many AES cores around, this one is designed with LUT6 based FPGA architecture in mind from day one.The AES Decryption Core for FPGA implements the decryption portion of the AES (a.k.a. Rijndael) algorithm described in the FIPS-197 specification. Key lengths of 128 / 192 / 256 bits are supported, each with a separate instantiation wrapper. Since the core is designed to take advantage of LUT6 based FPGA architecture, it packs very well in those devices. The result is a peak throughput of over 3Gbps f
aes decryption core for fpga
|
code | May 7, 2015 | Verilog | Beta | LGPL |
crypto core Compliant: NoLicense: LGPLDescriptionFour stage pipeline design working at 361.890MHz on Xilinx's 28nm Kintex 7 speed grade 3 FPGA device. Calculating 4 blocks parallely, encrypting up to 4.25 Gbits data per second (0.361Ghz* 4 stage pipe * 128 bits parallel / 44 cycles a block).
aes encryption all keylength
|
code | Aug 10, 2014 | VHDL | Alpha | LGPL |
crypto core e,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe AES behavioral model is not an encryption/decryption core, but a tool to facilitate the verification of AES IPs in HDL simulation.Traditionally crypto IPs are verified with C/C++ model, but that requires you to either interface with an external language in your HDL testbench, or to modify your C/C++ model to export test vectors in a format acceptable by your testbench. Either way is time consuming. A native SystemVerilog model elimates the need to interface with an external language model. You can include this model in your
aes systemverilog behavioral model
|
code | Aug 12, 2013 | Verilog | Beta | LGPL |
crypto core l info:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe AES-128 pipelined cipher module uses AES algorithm which is a symmetric block cipher to encrypt (encipher) information. Encryption converts data to an unintelligible form called ciphertext .Here the AES algorithm is capable of using cryptographic keys of 128bit to do this conversion .This module is optimized for speed as it pipeline hardware to perform repeated sequence called round. This module synthesized on Xilinx virtex 6 6vcx240tff784-2 board using ISE. Fuctional and gate level simulation w
aes-128 encryption
|
code | Apr 3, 2015 | Verilog | Stable | LGPL |
crypto core neWishBone Compliant: NoLicense: LGPLDescriptionThis Core implements the Advanced Encryption Standard (Rijndael Algorithm) according to the NIST standard as documented in FIPS-197.This AES core is developed for a key size of 128 bits and operates in ECB mode.The project contains a synthesizable RTL along with a Test Bench set up to verify the Core with test vectors as described in the FIPS document.General FeaturesInput and Key size of 128 bits.Operation in ECB mode.Performance adheres to FIPS-197.Core with high speed and low latency.RTL and TB in VHDL.StatusCore verified in simulation and upl
aes128
|
code | Mar 26, 2014 | VHDL | Stable | LGPL |
crypto core proven,Specification doneWishBone Compliant: NoLicense: BSDGeneral DescriptionI know there are plenty of AES (Rijndael) implementations around. I created my own anyway because I was unhappy with either the implementation language (I don't know Verilog and I think its an ugly language), the documentation or the performance/resource usage of the ones I found on the net.Here are the key parameters for this core:- strictly modular design- generics for the keylength (128,192,256 Bit) and enabling and disabling of decrypt datapath.- Avalon Interface tested with niosII (can be adapted to match wishbo
avalon aes ecb-core 128 192 256 bit
|
code | Apr 20, 2013 | VHDL | Stable | BSD |
crypto core ant: NoLicense: GPLDescriptionBit-serial multiplication on the NIST B-163 curve.This implementation utilizes DSP481E blocks (Artix-7 FPGA).
b-163 ec arithmetic
|
code | Sep 28, 2013 | VHDL | Stable | GPL |
crypto core Bone Compliant: NoLicense: LGPLDescriptionThe module is designed and optimized for Bitcoin hash work on FPGA or ASIC.
bitcoin double sha256
|
code | Nov 16, 2013 | VHDL | Beta | LGPL |
crypto core shBone Compliant: NoLicense:DescriptionThis IP core loads an unsorted, encrypted list of numbers from memory. It then decrypts and sorts the list.Sorting is acheived using a high-throughput, heavily parametric mergesort core.Features- Highly parametric mergsort core- folds a single comparator across multiple fifos mapped onto SRAMs- compartor scheduler as a parameter- High speed PLB master core- achieves effective memory throughput of more than 400MB/s- uses configurable burst transfers to obtain high throughput- Pipelined AES coreStatusThis project is completed and development is closed. It
bluespec cryptosorter
|
code | Dec 20, 2009 | Other | Beta | Unknown |
crypto core shBone Compliant: NoLicense:Features- Latency insensitive design- Should be portable to most bus architectures/platforms- Easily amenable to multi-clock domain extension- Support for long burst transfers- Configurable number of compression cores, compression core parallelismStatusThis project is under on-going development as we seek to explore and to improve the architecture of the implementation.We have demonstrated this architecture on the Xilink XUP board, on which we have obtained throughputs in excess of 233 MB/s for MD6-512
bluespec md6
|
code | Dec 20, 2009 | Other | Beta | Unknown |
crypto core liant: NoLicense: GPLDescriptionBTCMiner is a Bitcoin Miner software which allows you to make money with your ZTEX USB-FPGA Module. Since these FPGA Boards contain an USB interface no additional hardware (like JTAG programmer) is required and low cost FPGA-clusters can be build using standard USB hubs.FeaturesSupported FPGA Boards:Spartan 6 USB-FPGA Module 1.15b with XC6SLX75: 90 MH/s (typical)Spartan 6 USB-FPGA Module 1.15d with XC6SLX150: 215 MH/s (typical)Spartan 6 USB-FPGA Module 1.15x with XC6SLX150: 215 MH/s (typical)Spartan 6 USB-FPGA Module 1.15y with four XC6SLX150: 860 MH/s (typical)
btcminer-open source bitcoin miner
|
code | Nov 28, 2012 | Verilog | Stable | GPL |
crypto core mpliant: NoLicense: GPLDescriptionCamellia block cipher cores.FeaturesThe project is composed of different cores:Performance optimized: exploits pipelining in order to maximize the throughput. There are two different versions: the first accept only 128-bit key in order to minimize area and the second accept all key sizes.Area optimized: exploits looping in order to minimize area.StatusAll the cores are tested only at pre-synthesis stage and therefore cannot be considered stable.
camellia cores
|
code | Dec 20, 2009 | VHDL | Mature | GPL |
crypto core cation doneWishBone Compliant: NoLicense: LGPLDescription
compact aes-ccm core
|
code | Apr 16, 2013 | VHDL | Alpha | LGPL |
crypto core A proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe main goal on this research work was to provide a compact hardware CLEFIA structure, while still being able to achieve implementations with adequate throughput and performance, even on low cost devices. Two hardware The structure allow for the cipher and decipher computations with all three Key sizes specified in the algorithm, also the key generation.
compact clefia for fpga
|
code | Mar 17, 2014 | VHDL | Beta | LGPL |
crypto core e,FPGA provenWishBone Compliant: NoLicense: GPLCrypto-PAnA hardware implementation of Crypto-PAn[1]. The core makes use of a fully pipelined 128-bit AES (Rijndael) cipher engine as the underlying pseudorandom function, supports online key changes, and is capable of line rates exceeding gigabit ethernet.[1] Blake, A. and Nelson, R. 2008. Scalable Architecture for Prefix Preserving Anonymization of IP Addresses. In Proceedings of the 8th international Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (Samos, Greece, July 21 - 24, 2008). M. Berekovi‡, N. Dimopo
crypto-pan
|
code | Feb 26, 2010 | VHDL | Stable | GPL |
crypto core Compliant: NoLicense:csathis project implement a dvb common Scrambling AlgorithmFeatures-decrypt- only decrypt yetStatus- status1- status2
csa
|
code | Dec 20, 2009 | Verilog | Beta | Unknown |
crypto core fication doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the classic DES block cipher (iterative architecture).
des core
|
code | Aug 10, 2013 | VHDL | Stable | GPL |
crypto core : NoLicense:DescriptionSimple DES/Triple-DES core.Motivation- A simple DES core- Fast and Small Version- Open SourceCompatibilityI believe that the core complies to NIST-800-17. However, there has been no formal third party verification.The official NIST specification can be downloaded here:800-17.pdf.For the Triple DES, the NIST reference is located here:FIP46-3.PerformanceTriple DES IP Core==============1) Area Optimized (CBC Mode)This is a sequential implementation and needs 48 cycles to complete a full encryption/decryption cycle.- 0.18u UMC ASIC process: 5.5K gates, > 16
des/triple des ip cores
|
code | Dec 20, 2009 | Verilog | Stable | Unknown |
crypto core ification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the DESL block cipher (iterative architecture).
desl core
|
code | Aug 10, 2013 | VHDL | Stable | GPL |
crypto core cification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the DESLX block cipher (iterative architecture).
deslx core
|
code | Aug 10, 2013 | VHDL | Stable | GPL |
crypto core ification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the DESX block cipher (iterative architecture).
desx core
|
code | Aug 10, 2013 | VHDL | Stable | GPL |
crypto core oven,FPGA provenWishBone Compliant: NoLicense: OthersDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
fast aes-128 encryption only cores
|
code | Nov 19, 2010 | Verilog | Stable | Others |
crypto core hBone Compliant: NoLicense: LGPLProject informationThe Modular Simultaneous Exponentiation core is a flexible hardware design to support modular simultaneous exponentiations in embedded systems. It is able to compute a double exponentiation as given bymodWhere , and are -bit numbers and the exponents and are -bit numbers. This operation is commonly used in anonymous credential and authentication cryptosystems like DSA, Idemix, etc.. For this reason the core is designed with the use of large base operands in mind ( =512, 1024, 1536 bit and more..). The ha
flexible design of a modular simultaneous exponent
|
code | Jul 6, 2013 | VHDL | Alpha | LGPL |
crypto core ification doneWishBone Compliant: NoLicense: OthersDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
galois counter mode advanced encryption standard g
|
code | Oct 16, 2010 | Verilog | Beta | Others |
crypto core ishBone Compliant: NoLicense: BSDDescriptionThis is a implementation of the GOST 28147-89 - a Soviet and Russian government standard symmetric key block cipher.GOST 28147-89 has a 64-bit blocksize and 256-bit keysize.This implementation provide trade off size and performance. The goal was to be able to fit in to a low cost Xilinx Spartan series FPGA and still be as fast as possible. As one can see from the implementation results below, this goal has been achieved.Features- SystemVerilog RTL and TB code is provided- Implements both encryption and decryption in the same block- GOST 28147-89 algo
gost 28147-89
|
code | Jun 2, 2015 | Verilog | Stable | BSD |
crypto core enWishBone Compliant: NoLicense: BSDDescriptionThe GOST block cipher, defined in standard GOST 28147-89, is a Soviet and Russian government standard symmetric key block cipher.Developed in the 1970s, the standard has been marked €?Top Secret€? and the downgraded to €?Secret€? in 1990. Shortly after the dissolution of the USSR, it was declassified and it was released to the public in 1994.wikipedia:http://en.wikipedia.org/wiki/GOST_%28block_cipher%29ModesCore supported ecb, ecb pipeline, cfb, mac.StatusCore was tested on a Altera Cyclone IIecb mode needs ~200 LUTsecb pipelin
gost28147-89
|
code | Mar 13, 2014 | Verilog | Stable | BSD |
crypto core : NoLicense:Thanks to Mr. Thomas Blum (tblum@ece.wpi.edu) who provide his documentation about High Radix Montgomery modular exponentiation.DescriptionRSA Cryptosystem is widely used in information technology. It encryptsand decrypts messages using public key mechanism. The security of thiscryptosystem is based on the fact that it's very difficult to factorizelarge prime number.RSA algorithm was proposed in 1978 by Rivest, Shamir, andAdleman. Since 1978 its algorithm has changed to get an efficientcryptosystem. The high radix Montgomery algorithm is used to get thefaster calculation of modular
high radix montgomery rsa crypto core
|
code | Oct 14, 2001 | Unknow | Planning | Unknown |
crypto core info:FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe High Throughput Low Area AES IP core implements the Rijndael encryption & decryption algorithm used in the AES standard. The standalone core implements the basic ECB mode described in publication 800-38A by NIST. Other modes can be easily implemented using the core.The core implements both key expansion, required each time the key is changed (also after reset or power-up), and encryption/decryption algorithms. The core supports all three key lengths: 128, 192 & 256 bits, selected by an input signal. En
high throughput and low area aes core
|
code | Apr 1, 2012 | Verilog | Stable | LGPL |
crypto core iant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
hight crypto core
|
code | Feb 20, 2015 | Verilog | Planning | LGPL |
crypto core License:DescriptionThe IDEA (International Data Encryption Algorithm) is a symmetric-key block cipher that can encrypts 64-bits plaintexts to 64-bit ciphertexts using a 128-bit key, used for secure communications. It is also can do decryption with the same block using the same key.It consists of 8 computationally identical rounds and an output transformation. A 64-bit input block is divided into four 16-bit blocks which become the input blocks to the first round of the algorithm. In each of the eight total rounds, the four sub-blocks are XOR-ed, added, and multiplied with one another and with
idea core
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
crypto core one,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionA high throughput, 64-stage pipelined implementation of MD5 written in Verilog. Completes one hash per cycle.
md5 pipelined
|
code | Nov 27, 2014 | Verilog | Stable | LGPL |
crypto core ne Compliant: NoLicense: BSDMini AESAdvanced Encryption Standard (AES) implementation with small area/resources utilization.Features- Encryption and Decryption unit in single core.Status- Currently only AES 128 version.- Not small enough.-http://www.opencores.org/pstats.cgi/view/mini_aes(Project status)
mini aes
|
code | Mar 19, 2010 | VHDL | Alpha | BSD |
crypto core liant: NoLicense: LGPLDescriptionModular multiplication and modular exponentiation play an important role in the mostof existing cryptographic systems. In fact these are time and hardware consumingoperations.Up to now there were proposed modular multiplication and modular exponentiationimplementations. One of them, Montgomery method, is very efficient especially ifmodulus is coprime integer with the word length in which it is operated, what isalways true in binary systemsIn this project Montgomery multiplier and Montgomery exponentiation blocks wasdeveloped. They were prepared for Spartan 3ES5
montgomery modular multiplier and exponentiator
|
code | Feb 1, 2015 | VHDL | Alpha | LGPL |
crypto core pecification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the NOEKEON block cipher (iterative architecture).
noekeon core lightweight block cipher
|
code | Jul 27, 2013 | VHDL | Stable | GPL |
crypto core oLicense: BSDDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
nugroho free crypto cores
|
code | Jun 27, 2010 | VHDL | Alpha | BSD |
crypto core ompliant: NoLicense: BSDDescriptionNugroho Free Hash Cores (NFHC) currently support SHA-1, SHA-256, SHA-512 from FIPS-180 standard.
nugroho free hash cores
|
code | Jun 24, 2010 | VHDL | Alpha | BSD |
crypto core one Compliant: NoLicense: LGPLDescriptionThis is a fully pipelined implementation of the AES (rijndael) cipher with 128 bit keysize. Post place and route logs show the maximum speed to be 333 MHz when implemented on a Virtex-5 LX50T speed grade -1 FPGA with 45% LUT utilization and 27% register utilization. This comes out to a maximum throughput of ~ 42Gbps with an average of 1 encryption every cycle. The overall design has a latency of 30 clock cycles. A brief documentation is availablehere.This core has been verified to be correct by the NIST designed Known Answer Tests (KAT).P.S. If you down
pipelined aes
|
code | Jul 9, 2010 | VHDL | Stable | LGPL |
crypto core proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionPresent is a lightweight block cipher dedicated to implement in Hardware. It was developed by Knudsen team.This cipher operates on the 64 bit text with use of 80 bit key. It uses S/P blocks and xor operations for encryption and key update through 32 rounds.In this project I created:- Present module dedicated to 32 bit Hardware (32 bit I/O and working under state machine) This is much for 'archive' state due to it was part of my students project, and it is not a 'pure' implementation of PRESENT.- "Pure" Present implementat
present-a lightweight block cipher
|
code | Sep 17, 2014 | VHDL | Stable | LGPL |
crypto core Bone Compliant: NoLicense: LGPLAbout Present Block CipherPresent is a lightweight block cipher designed for hardware constrained applications such as RFID tags and Smart Cards.This cipher is a true example of SPN ciphers. The block size is 64 bits, key size can be either 80 or 128 bits and the number of rounds is 31.The S-Box used in Present is a 4-bit to 4-bit S-Box which is invoked both in the substitution layer and in the key scheduling routine.This project entails an encryption-only implementation of Present cipher with key size equal to 80 bits.
present cipher encryption core
|
code | Feb 18, 2011 | Verilog | Stable | LGPL |
crypto core PGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionRC4 PRBS (Generates the RC4 stream, then you have to XOR it with your data to crypt or decrypt it), takes 768 clocks to do key-expansion, then start outputting one-byte of random stream for every clock (output_read signals valid output in K). Based on RC4 implementation in wikipedia.
rc4 pseudo-random stream generator
|
code | Feb 26, 2013 | Verilog | Stable | LGPL |
crypto core ishBone Compliant: NoLicense: GPLDescriptionThe Cryptographic Algorithm which is most widely used throughout the worldfor protecting information. Cryptography is the art of secret writing,followed by the guarantee to authenticate data and messages and protectthe systems from valid attacks .It comprises of encryption and decryptionoperations each associated with a key which is supposed to be kept secret .We have implement RC6 Algorithm. Which is considered as a secured andelegant choice for AES due to its simplicity, security, performance andefficiency. RC6 support
rc6 cryptography
|
code | May 23, 2011 | VHDL | Stable | GPL |
crypto core t: NoLicense: LGPLDescriptionThe project presents an open-source implementaion of the 512 bit RSA algorithm. This is a reduced version of a full FIPS Certified capable RSA Crypto-core.The full version supports all key sizes (512, 1024, 2048, 4096) and includes a complete testbench. It can reach more than 150 operations per second with a 1024 key size in a Spartan 6 FPGA and more than 200 in a Virtex 6.The core fits in a XC6SLX25T, which makes it a nice solution for mobile devices needing RSA acceleration.For more information contact jcastillo@opencores.orgPlease read carefully the documentatio
rsa
|
code | Oct 24, 2012 | VHDL | Stable | LGPL |
crypto core icense:DescriptionRSA ( Rivest Shamir Adleman )is crypthograph system that used to give a secret information and digital signature . Its security based on Integer Factorization Problem (IFP). RSA uses an asymetric key.RSA was created by Rivest, Shamir, and Adleman in 1977.Every user have a pair of key, public key and private key.Public key (e). You may choose any number for e with these requirements,1, where(n)= (p-1) (q-1)( p and q are first-rate),gcd (e,(n))=1(gcd= greatest common divisor).Private key (d). d=(1/e) mod((n))Encyption (C) . C=M mod(n),
rsa processor
|
code | Jun 28, 2012 | Unknow | Planning | Unknown |
crypto core NoLicense: GPLDescriptionRTEA (from Ruptor's TEA or Repaired TEA) - a symmetric block encryption algorithm used type "Feistel cipher", designed by Marcos el Ruptor, expansion TEA. Fixed some vulnerability in the algorithm. Like other variants of the algorithm TEA, the operation based on work with 32-bit numbers. The algorithm is much simpler and more productive XTEA, while, according to the authors and conducted by the developers according to statistical tests, is more resistant to cryptanalysishttp://defectoscopy.com/results.htmlKey size 128/256 bitsBlock size 64 bit
rtea 128/256
|
code | Oct 5, 2009 | VHDL | Stable | GPL |
crypto core t: NoLicense: LGPLDescriptionSalsa20 stream cipher is built on a pseudorandom function based on 32-bit addition, bitwise addition (XOR) and rotation operations, which maps a 256-bit key, a 64-bit nonce (number used once), and a 64-bit stream position to a 512-bit output. It has advantage that the user can efficiently seek to any position in the output stream.ImplementationThe target device for implementation was Cyclone 3 from Altera (EP3C120). The motivation for these was to have nonce-based PRSequence generator - proof of concept. It was intended to be used with 120MHz clock. It finally can
salsa20streamcipher
|
code | Nov 13, 2012 | VHDL | Mature | LGPL |
crypto core Compliant: NoLicense: BSDDescriptionRuns at 199.788MHz on Xilinx's 28nm Kintex 7 speed grade 3 device. Processing speed: 1.598 Gbits per second.
secure hash standard 256 bits
|
code | Apr 5, 2014 | VHDL | Alpha | BSD |
crypto core Bone Compliant: NoLicense: LGPLDescriptionThis is a collection of SHA(Secure Hash Algorithm) cores. These include SHA-1, SHA-2 algorithms.These cores are non-pipelined version of SHA, and have simple interfaces with the host side.Features- Support SHA-1(160), SHA-2(256/384/512)- Use a simple 32-bit I/O bus interface- High performance- Share hardware between different SHA processing- Can operate up to 200MHz at 0.18um Standard cell design- Written in VerilogHDLStatus- Initial releaseTODO- Combine SHA1/SHA2 in a single core- Make it smaller and faster!
sha cores
|
code | Dec 17, 2012 | Verilog | Beta | LGPL |
crypto core rovenWishBone Compliant: NoLicense: GPLDescriptionOrganization
sha-256
|
code | Apr 16, 2013 | VHDL | Stable | GPL |
crypto core GA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the SHA-256 hashing algorithm. This project includes .do files for performing a simulation on ModelSim.
sha-256 core
|
code | Aug 8, 2013 | VHDL | Stable | GPL |
crypto core ense:DescriptionVerilog Implementation of SHA1 Secure Hash AlgorithmStatus- Initial Release
sha1 secure hash algorithm
|
code | Jul 8, 2004 | Unknow | Alpha | Unknown |
crypto core proven,Specification doneWishBone Compliant: NoLicense: OthersDescriptionSHA-3, originally known as Keccak [1], is a cryptographic hash function selected as the winnerof the NIST hash function competition [2]. Because of the successful attacks on MD5, SHA-0 andtheoretical attacks on SHA-1, NIST perceived a need for an alternative, dissimilar cryptographichash, which became SHA-3 [3].NIST requires the candidate algorithms to support at least four different output lengths {224,256,384,512}with associated security levels [4]. €œSHA-3 512€?, in which output length is 512-bit,has th
sha3 keccak
|
code | May 27, 2014 | Verilog | Stable | Others |
crypto core WishBone Compliant: NoLicense: LGPLDescriptionThe code presented here implements the bit-serialized SIMON block cipher. Please check the following publication for the details of the implementation: A. Aysu, E. Gulcan, P. Schaumont, "SIMON Says, Break Area Records of Block Ciphers on FPGAs,", IEEE Embedded Systems Letters, 6(2):37-40, April 2014
simon core
|
code | Aug 6, 2014 | Verilog | Mature | LGPL |
crypto core License:Description( I still have problem to upload files to cvs.opencores......)Features- feature1- feature1.1-feature1.2-feature2Status- status1- status2
simple camellia crypto core
|
code | Dec 20, 2009 | VHDL | Unknow | Unknown |
crypto core ,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionSimple to use SHA-2 algorithmIs a VHDL implementation of SHA-224/256 core.Major project choice is semplicity: just feed core with message a chunk per clock and wait for result.Italian (sorry) documentation included.
simple to use sha-2 algorithm
|
code | Sep 19, 2012 | VHDL | Beta | LGPL |
crypto core shBone Compliant: YesLicense:DescriptionSystemC DES is a implementation of the DES algorithm in SystemC focusing on low area applications.Implements the encoder and decoder in the same block.It was fully verified using TLM (Transaction Level Modelling Style) defined in the SystemC Verification Library.Verilog translation for synthesis is also provided.The core was tested on a Virtex2 FPGA succesfully.This work is given by Universidad Rey Juan Carlos (Spain)www.gdhwsw.urjc.esFeatures- SystemC and Verilog code are provided- Verified using TLM(Transaction Level Modelling Style)- Encoder and decod
systemc/verilog des
|
code | Dec 1, 2011 | Other | Stable | Unknown |
crypto core shBone Compliant: NoLicense: LGPLFeatures- Implements the MD5 standard- It doesnt make the block padding, you must input the 128 bits blocks padded and in little endian mode- The output is given in little endianStatus- DoneDescriptionA SystemC/Verilog synthesizable MD5 hash core.This work is given by Universidad Rey Juan Carlos (Spain)www.gdhwsw.urjc.es
systemc/verilog md5
|
code | Apr 9, 2010 | Other | Stable | LGPL |
crypto core ompliant: NoLicense: LGPLDescriptionThis project has been MOVED to bitbucket:https://bitbucket.org/vahidi/grain
the grain stream cipher
|
code | Jun 21, 2015 | VHDL | Beta | LGPL |
crypto core oneWishBone Compliant: NoLicense: LGPLDescription
three compact implementations of aes encryption
|
code | Apr 16, 2013 | VHDL | Stable | LGPL |
crypto core ne Compliant: NoLicense: GPLDescriptionVHDL implementation of the twofish cipher for 128,192 and 256 bit keys.The implementation is in library-like form; All needed components up to, including the round/key schedule circuits are implemented, giving the flexibility to be combined in different architectures (iterative, rolled out/pipelined etc). Manual in English is included with more details about how to use the components and/or how to optimize some of them. All testbenches are provided (tables, variable key/text, ECB/CBC monte carlo) for 128, 192 and 256 bit key sizes, along with their respe
twofish 128/192/256
|
code | May 8, 2006 | VHDL | Stable | GPL |
crypto core : NoLicense:DescriptionTwofish is a 128-bit block cipher that can accept variable key length 128,192 and 256 bit. In this project we just use key length128 bit. Twofish is fundamental built by F-function, rotate-left one bit, rotate-right one bit, and XOR.The cipher has 16 round F-function . F-function is made up by four key-dependent 8-by-8-bit S-box, a fixed 4-by-4 maximum distance separable matrix over GF(2^8), a pseudo-Hadamard transform, bitwise rotation, and key scedule.As can be seen from figure 1, input will be latched first into a register and then separated into four word. The four
twofish core
|
code | Oct 17, 2002 | Unknow | Beta | Unknown |
crypto core ification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL implementation of the XTEA block cipher (iterative architecture).
xtea core
|
code | Jul 27, 2013 | VHDL | Stable | GPL |
crypto core ne Compliant: NoLicense: LGPLDescriptionThis is a Verilog implementation of theXTEA block cipher. It works on two 32-bit blocks of data at a time with a 128-bit key.A proper OpenCores specification for this unit will be written at some point.Wishbonecompliance is also on the TODO list.This implementation was adapted from the public domain C release of the algorithm from David Wheeler and Roger Needham byDavid Johnson. It is licensed under the GNU Lesser General Public License.Features- a very small, efficient implementation- fast- secure- what more do you need?Current Stable ReleaseThe
xtea crypto core
|
code | Jul 21, 2010 | Verilog | Stable | LGPL |
DSP CORE | |||||
dsp core doneWishBone Compliant: NoLicense: GPLAdaptive LMS EqualizerIn communication systems channel poses an important role. channels can convolve many different kind of distortions to our information. In perticular wireless channels multipath distortion is sevear.and more sevear is such distortion is random.To handle this, multipath affected channels require Equalizers at receaver end.such equalizer uses different learning Algorithms for identifying channels continuously.This project is VHDL implementation of LMS learning algorithm with pipelined architecture. so this implementation can work with hi
adaptive lms equalizer
|
code | Dec 20, 2009 | VHDL | Beta | GPL |
dsp core WishBone Compliant: NoLicense: LGPLDescriptionThe circuits found here implement digital leapfrog filters as described in http://en.wikibooks.org/wiki/Signal_Processing/Digital_Filters >. All filters are of lowpass type. They are optimised in terms of area.This kind of filter structure is the digital counterpart of an analog lumped-elements ladder filter. It simulates the functioning of an all-pole lowpass filter under the assumption of a large oversampling. The circuit implements the integral relations between voltages and currents of the capacitors and the inductances with the help of
all-pole iir filters
|
code | Jul 20, 2012 | VHDL | Stable | LGPL |
dsp core ense:Specifications- IIR filter with two poles and two zeros- Data width set by user- Coefficient width set by user up to 16 bits- Wishbone interface for read and write of filter coefficient registers- Multiple filters can be combined to form filters with more than two poles and zerosDescriptionThe difference equation for the biquad filter is:y[n] = b10*x[n] + b11*x[n-1] + b12*x[n-2] + a11*y[n-1] + a12*y[n-2]This equation is implemented as shown below:IMAGE: bquad_blk.gifFILE: bquad_blk.gifDESCRIPTION:SynthesisSynthesized with Synopsys FPGA Express version 2000.11-FE3.5.If you use this core pl
biquad iir filter core
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
dsp core e,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionCanny edge detector with a 9x9 mask (gradient + gaussian filtering with sigma = sqrt(2)). Able to produce a throughput of 1 pixel per clock cycle. Succesfully implemented on a Virtex4 up to 300Mhz clock frequency.
canny edge detector
|
code | Oct 29, 2014 | VHDL | Beta | LGPL |
dsp core ne Compliant: NoLicense: LGPLDescriptionFIR filter- architecture written with pure verilog- parameterizable in verilog code- cascaded - processing is paralleled- data and coefficients stored in RAM - suitable for long pulse response FIR and limited registers count- complex data- fixed point* load coefficient from input data as option - for echo/sonar/radar/etc processingFPGA resources usingAltera Cyclone IV E EP4CE22E22C8Parameters of filterInput data width14 b, 2 channelsPulse response length2048 samplesCell size1024 (2 cells for 2048 samples used)Output data width28 b, 2 channelsResources us
cascaded fir filter
|
code | Jun 16, 2015 | Verilog | Alpha | LGPL |
dsp core ense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluentfor more info.Finite impulse response (FIR) filters are common in DSP applications and consist of a delay bank (filter taps) and a sum-of-products.FeaturesThe filter architecture consists of a delay bank and a pipelined sum-of-products network. All arithmetics are lossless -- multipliers produce precision with the sum of the operands and each adder extends precision by 1 bit.The filter has a synchr
cf fir filter
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
dsp core oLicense: GPLDescriptionThis is a structural model for cascaded integrator comb (CIC) decimation filters. The filter consists of integrator, downsampler and comb stages. Each block is developed in behavioral manner, however, the top-level is developed in structural hierarchal manner. A test-bench is included for each single block and for the top-level entity as well.
cic decimation filter
|
code | Jun 8, 2012 | SystemC | Stable | GPL |
dsp core rovenWishBone Compliant: NoLicense: LGPLDescriptionCascaded integrator-comb (CIC) digital filters are computationally efficient implementations of narrowband lowpass filters and are often embedded in hardware implementations of decimation and interpolation in modern communications systems.NB: core is written in SystemVerilog.
cic-filter core
|
code | Nov 4, 2014 | Other | Stable | LGPL |
dsp core NoLicense: GPL
configurable high speed viterbi decoder
|
code | Dec 2, 2013 | Verilog | Alpha | GPL |
dsp core FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThe DDS IP core (dds_synthesizer) is a implementation of a direct digital frequency synthesizer (DDS) (also called number controlled oscillator, NCO) which produces a sinewave at the output with a specified frequency and phase (adjustable at runtime).Only one quater of the sinewave is stored in the LUT, the rest is computed by simple operations (negating, subtraction), resulting in a reduced memory requirement.The resolution of the frequency tuning word (FTW), the phase and the amplitude defined seperately. Several precomputed lo
dds synthesizer
|
code | Apr 3, 2013 | VHDL | Stable | LGPL |
dsp core GA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThe goal of this project is to create an IP core for an FFT that runs, in a pipelined fashion, at two samples per clock. A C++ program will generate the Verilog files, allowing the FFT to be of an arbitrary length--subject only to the capability of the FPGA used to implement the FFT.One of my goals is to create an FFT core that can be used with open source and third party Verilog simulation facilities, such as Verilator. This would be difficult with a proprietary IP core.For those who might be wondering, why would I nee
double clocked fft core
|
code | Jun 2, 2015 | Verilog | Alpha | GPL |
dsp core Specification doneWishBone Compliant: YesLicense: LGPLDescriptionWDSP project includes three System on Chip (SoC) cores, which implement the Digital Signal Processing (DSP) functions: Finite Impulse Response (FIR) filter, Infinite Impulse Response (IIR) filter and Fast Fourier Transform (FFT). The FIR filter core is based on the transpose realization form, the IIR filter core is based on the Second Order Sections (SOS) architecture and the FFT core is based on the Radix 2^2 Single Delay Feedback (R2^2SDF) architecture. The three cores are compatible with the Wishbone SoC bus and they were desc
dsp wishbone compatible cores
|
code | Mar 17, 2014 | VHDL | Alpha | LGPL |
dsp core pliant: NoLicense:DescriptionThe RTL computes Fast Hadamhard Transform of 8-bit input data. The code has been developed using standard FHT algorithm using matrix addition. The code has been functionally verified and also synthesized for Xilinx FPGA.Features- feature1- feature1.1-feature1.2-feature2Status- status1- status2
fast hadamhard transforms
|
code | Dec 18, 2010 | Verilog | Beta | Unknown |
dsp core liant: NoLicense: LGPLDescriptionFFT-based FIR Filter is a unit to perform the finite impulse responce filter based on the Fast Fourier Transform (FFT). It performs the convolution of the unlimited signal sequence with the synthesized impulse responce of the length of Ni=N/2 samples, where N = 64, 128, 256, 512, 1024. The data and coefficient widths are tunable in the range 8 to 18.Main Features:The filtering algorithm is the sectioned convolution with accumulating based on N-point radix-2 FFT, where N = 64, 128, 256, 512, 1024One complex signal channel or two parallel real signal channels.Fil
fft-based fir filter
|
code | Apr 27, 2011 | VHDL | Stable | LGPL |
dsp core provenWishBone Compliant: NoLicense: OthersDescriptionVHDL Parametrizable FIR FilterImplementation:-Direct Form II-Real format entry for normalized coeficients-Internal fixed-point implementation (configurable resolution)Simulation:-Matlab file (.m)-VHDL testbench and macro (.do) for simulation===========================================================EXAMPLE===========================================================duv_FIR_low_area : ENTITY WORK.FIR_low_areaGENERIC MAP(..data_length...=>..12,....-- input/output length..data_signed...=>..true,..-- input/output type (signed or unsigned)..
filtro_fir
|
code | Apr 13, 2015 | VHDL | Stable | Others |
dsp core done,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionVHDL core generatorFIRGEN Project generates optimized VHDL codes for FIR Filters and Multiplier arraysusing "Nonrecursive Signed Common Subexpression Algorithm".program writen on C++--------------------------firgen [OPTION..]Available options are :-w Input Data Width-m Generate Only Multipliers Array-a Generate Asynchronus Multipliers array (no CLK signal)-e Use CLK_EN input-c filter coefficients, coma separated-o Output File Name-? HelpExample For Use:----------------FirGen -w 16 -c 1,2,3,4,5 -o my_firthis comman
firgen/multgen
|
code | Dec 20, 2009 | Other | Stable | GPL |
dsp core NoLicense: LGPLDescriptionThis project is the special floating-point Fast Fourier transform realization. Floating point representation has only 24 bits and takes into account the features of the Xilinx FPGA devices. FP24 is the reduced format of IEE 754. The word in FP24 format has 16-bit fraction, 1-bit sign and 7-bit exponent. It gives the best resource usage of the FPGA such as DSP48E1 and RAMB18E1. There is a word format:A = 2^(EXP(A)) * (-1)^SIGN(A) * FRAC(A), whereEXP(A) - 7-bit exponent (0-127),SING(A) - 1-bit sign (0 - positive, 1 - negative),FRAC(A) - 16-bit fraction (0-13071).Some sp
floating-point fft/ifft
|
code | Apr 10, 2015 | VHDL | Alpha | LGPL |
dsp core icense: GPLDescriptionThis is an elementary generic structural VHDL code for FIR digital filters in transposed-form and direct-form implementations.This project covers a wide spectrum of design aspects, in particular design and both functional and formal verification.The project is developed in VHDL and modeled in SystemC. The SystemC model is used for functional and formal verification.TCL scripts for GHDL and SystemC is included within the project files.This code could be considered for VHDL classes or DSP classes for amateurs or beginners.The developed code was synthesized for FPGA and ASIC
g-fir tf/df
|
code | Apr 13, 2012 | VHDL | Stable | GPL |
dsp core iant: NoLicense: LGPLDescriptionGeneric FIR filter. Builds optimized filter according to number of multipliers, supports serial or parallel architecture. Supports delays in input. Builds Verilog FIR filter according to input parameters: multiplier number, filter order, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic fir filter 1
|
code | Jun 18, 2012 | Verilog | Stable | LGPL |
dsp core ne Compliant: YesLicense: LGPLDescriptionThis FIR filter is very generic and flexible. It has been tested working on an FPGA, though the existing version does not yet have a Wishbone control interface. I will be adding one to it soon. Stay tuned!
generic fir filter 2
|
code | Mar 4, 2014 | VHDL | Beta | LGPL |
dsp core one,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThe Hilbert Transform is an important component in communication systems, e.g. for single sideband modulation/demodulation, amplitude and phase detection, etc. It can be formulated as filtering operation which makes it possible to approximate the Hilbert Transform with a digital filter. Due to the non-causal and infinite impulse response of that filter, it is not that easy to get a good approximation with low hardware resource usage. Therefore, different filters with different complexities have been implemented.The detailed discuss
hilbert transformer
|
code | Apr 3, 2013 | VHDL | Stable | LGPL |
dsp core oLicense: GPLDescriptionThis is a structural modeling for IIR digital filters. It is developed in SystemC, however, it includes Matlab script and Simulink model as well. The developed code describes several structures for IIR filters, such as Transposed-form I, Transposed-form II, and Direct-form II. The implemented structures are well defined in the attached manual. Further, the detailed implementation is illustrated on the later file.
iir
|
code | Jul 20, 2012 | SystemC | Beta | GPL |
dsp core done,Specification doneWishBone Compliant: NoLicense: BSDDescriptionThe IMA ADPCM audio compression algorithm belongs to the Adaptive Differential Pulse Code Modulation type algorithms. The algorithm is based on a simple adaptive quantization of the difference between the input and a predictor. Each 16-bit input sample is converted to a 4-bit coded information which yields a compression ratio of . We will not go through detailed description of the algorithm in this document. There are many online pages describing the algorithm, just Google €œIMA ADPCM€?.The main advantage of th
ima adpcm encdoer and decoder
|
code | Dec 12, 2014 | Verilog | Stable | BSD |
dsp core t: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
iq phase and gain correction
|
code | Mar 6, 2011 | VHDL | Beta | LGPL |
dsp core NoLicense:DescriptionThis project was undertaken to produce a low power FIR filter for inclusion in a VHDL target library. The design was completed using OrCAD's Capture CIS, from this the VHDL code has been extracted. This method has allowed complete testing of the system.The power consumption of various arithmetic architectures has been investigated, and the results have been provided in the intial report (FIRLowPowerConsiderations.doc). These results have enabled the correct power/performance optimization for the FIR filter design.The design was produced at the gate level, enabling low-powe
low power fir filter
|
code | Jan 28, 2012 | Unknow | Stable | Unknown |
dsp core iant: NoLicense: LGPLDescriptionLow-Pass IIR Filter IP core is a unit to perform the Infinite Impulse Responce (IIR) low pass filter which pass frequency is tuned dynamicallyMain Features:Dynamically tuned passband cutoff frequency in the range of 0.1 to 0.4 of the sampling frequency. The frequency is set by the 12-bit code with the linear scale.using 8-staged wave digital filter scheme of the 33-d order provides both sharp frequency responce €“ up to 100 db/ octave - and high stopband ripple €“ up to 80 db. Besides the passband ripple not succedes -2,5%, or €“
low-pass iir filter
|
code | Feb 2, 2010 | VHDL | Stable | LGPL |
dsp core Bone Compliant: NoLicense: GPLDescriptionThis core is a straight forward implementation of a Numerically Controlled Oscillator (NCO) - also referred to as a Direct Digital Synthesizer (DDS). In addition to generating the standard SIN/COS output waveforms, it also generates Square and Sawtooth outputs with very little extra resource. NCOs form an essential component in many Digital Comms applications - especially in digital modulation, up/down conversion and the generation of complex signals. This core is also great for test-benches as it provides a simple way to generate input stimuli for F
nco/periodic waveform generator
|
code | Jul 26, 2011 | VHDL | Stable | GPL |
dsp core e,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe PID controller IP core performs digital proportional€“integral€“derivative controller (PID controller) algorithm. The algorithm first calculates the error between a measured value (PV) and its ideal value (SP), then use the error as an argument to calculate the manipulate value(MV). The MV will adjust the process to minimize the error. It can be used to calculate duty cycle for PWM (Pulse Width Modulation).Features€ 16-bit signed coefficient and data input: Kp, Ki, Kd, SP and PV.€
pid controller
|
code | Feb 3, 2015 | Verilog | Stable | LGPL |
dsp core NoLicense: LGPLDescriptionDCT soft core is the unit to perform the Discrete Cosine Transform (DCT). It performs twodimensional 8 by 8 point DCT for the period of 64 clock cycles in pipelined mode.Main Features:more than 300 MHz sampling frequency, 64-cycle calculation period,approximately 330 CLBs and 4 DSP48E in Virtex-5 device,2 DSP48E when the scaled output data mode is used,8-bit input data,11-bit coefficients,12 €“ bit results,pipelined mode,latent delay from input to output is 132 clock cycles,structure optimized for Xilinx Virtex, Spartan FPGA devices.Please, contact us if y
pipelined dct/idct
|
code | Oct 10, 2012 | VHDL | Stable | LGPL |
dsp core e Compliant: NoLicense: LGPLDescriptionPipelined FFT/IFFT 128 points IP core is a unit to perform the Fast Fourier Transform (FFT). It performs one dimensional 128 €“ complex point FFT. The data and coefficient widths are adjustable in the range 8 to 16.Main Features:128 -point radix-8 FFTForward and inverse FFT.Pipelined mode operation, each result is outputted in one clock cycle, the latent delay from input to output is equal to 310 clock cycles (440 clock cycles when the direct output data order), simultaneous loading/downloading supportedInput data, output data, and coefficient
pipelined fft/ifft 128 points processor
|
code | Feb 2, 2010 | Verilog | Stable | LGPL |
dsp core e Compliant: NoLicense: LGPLDescriptionPipelined FFT/IFFT 256 points IP core is a unit to perform the Fast Fourier Transform (FFT). It performs one dimensional 256 €“ complex point FFT. The data and coefficient widths are adjustable in the range 8 to 16.Main Features:256 -point radix-8 FFTForward and inverse FFT.Pipelined mode operation, each result is outputted in one clock cycle, the latent delay from input to output is equal to 580 clock cycles (839 clock cycles when the direct output data order), simultaneous loading/downloading supportedInput data, output data, and coefficient
pipelined fft/ifft 256 points processor
|
code | Jul 30, 2014 | Verilog | Stable | LGPL |
dsp core Compliant: NoLicense: LGPLDescriptionPipelined FFT/IFFT 64 points IP core is a unit to perform the Fast Fourier Transform (FFT). It performs one dimensional 64 €“ complex point FFT. The data and coefficient widths are adjustable in the range 8 to 16.Main Features:64 -point radix-8 FFT.Forward and inverse FFT.Pipelined mode operation, each result is outputted in one clock cycle, the latent delay from input to output is equal to 163 clock cycles, simultaneous loading/downloading supported.Input data, output data, and coefficient widths are parametrizable in range 8 to 16Two and three
pipelined fft/ifft 64 points processor
|
code | Feb 25, 2010 | Verilog | Stable | LGPL |
dsp core NoLicense: LGPLDescriptionThis is a behavioral SystemC model for Polyphase Decimation filters. It can be used as for system design and functional verification. It has been tested with Matlab and Octave as well. If you need any further illustrations or further modifications, don't hesitate to contact me. It can be used effectively for class instruction. It is a good practice for SystemC beginners and DSP student/engineers as well.Feel free to contact me whenever you have further requests or comments.
polyphase decimation filter
|
code | May 11, 2012 | SystemC | Stable | LGPL |
dsp core shBone Compliant: NoLicense: LGPLDescriptionThis project is a digital signal processing (DSP) implementation of a circuit that provides periodic samples of both sine and cosine waveforms. Technically, it is called a "discrete-time discrete-amplitude binary recursion oscillator." Although that description sounds complex, the operation of the circuit is not complicated. This particular implementation uses a multiplier and an adder, and can be configured to produce sine/cosine output at the desired frequency and with the specified number of bits per sample.The sine and cosine outputs represent
quadrature oscillator
|
code | Jun 8, 2014 | VHDL | Stable | LGPL |
ECC CORE | |||||
ecc core cense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluent.orgfor more info.The core is provided in Verilog, Vhdl, C, and Python.Low-density parity-check (LDPC) codes are forward error correction codes invented by Robert Gallager in the early 60's. LDPC codes have record breaking error correction performance and approach Shannon's limit for channel capacity.FeaturesThis LDPC error corrector implements Gallager's "A" algorithm: an iterative, hard-deci
cf ldpc decoder
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
ecc core Compliant: NoLicense: LGPLDescriptionThe project describes an IPCore in verilog about binary BCH encoder and decoder. BCH is a popular error correcting code used in storage and transmission system. It adds some redundancy check data into original data frame, the redundancy data length depends on correcting capacity, and all the calculation proceed in the Galois Field that is suitable for FPGA.
configurable bch encoder and decoder
|
code | Apr 6, 2015 | Verilog | Alpha | LGPL |
ecc core nt: NoLicense: GPLDescriptionThis C++ program generates VHDL package with hamming encoder and decoder. It also generates a simple testbench that can be used to evaluate the generated Hamming code.my email is ale_amory@opencores.orgFeatures- It is a easy to use command-line program - HammingGen \ \ \- It generates two types of Hamming code - SEC - Single Error Correction - SEC-DED - Single Error Correction and Dual Error Detection- It is easy to modify the original designExamplesGenerated Code for a Hamming SEC with 32 bits- FUNCTION hamming_encoder_32bit(data_in:data_ham_32bi
configurable hamming generator
|
code | Mar 21, 2013 | VHDL | Stable | GPL |
ecc core nt: NoLicense:DescriptionFeatures- feature1- feature2Status- ...- ...
constellation encoder
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
ecc core mpliant: NoLicense: LGPLDescriptionThe double error correcting (DEC) BCH encoder / decoder IP cores.Features :€“ allows to correct up to 2 errors.€“ supports 16/32/64/128 bit memories (typical memory word sizes).€“ operates on complete memory words in a single cycle.€“ pure combinational logic design.
double error correcting dec bch encoder/decoder
|
code | Apr 29, 2011 | Verilog | Beta | LGPL |
ecc core oneWishBone Compliant: NoLicense:DescriptionHamming (7,4) Encoder: This core encodes every 4-bit message into 7-bit codewords in such a way that the decoder can correct any single-bit error.The encoder uses the generator matrix:G=[ 1110000100110001010101101001]The codewords are generated byC = M * Gwhere M=[m1 m2 m3 m4] is the 4-bit message.
ham_7_4_enc
|
code | Dec 20, 2009 | Verilog | Planning | Unknown |
ecc core Compliant: NoLicense:PCI express CRC verilog code 16 bit data 32 bit CRCFunctional DescriptionDesigners commonly use Cyclic Redundacy Codes (CRC) as an alternative to parity and checksum calcutions for checking and correcting errors in data transmissions.The CRC method for error detection and correction treats the data frame as a huge binary number. The binary number is divided (at the CRC generation end) by a fixed binary number (the CRC generator polynomial) and the resulting remainder of this division (CRC value) is appended to the end of the data frame. The receiver upon reception of th
pci express 16 bit crc verilog file
|
code | Dec 17, 2007 | Verilog | Stable | Unknown |
ecc core :Design doneWishBone Compliant: NoLicense: BSDProduct Code Iterative DecoderAn iterative decoder for Product Code, this decoder works for two dimensional product code.Status- Preliminary Check usinghttp://asim.lip6.fr/recherche/alliance/(Alliance 5.0)- Synthesized using ISE Xilinx 6.3i for target XC2V2000-FF896-4- Bit errors rates:- SNR 100 dB got 0000 errors from 10000 samples- SNR 009 dB got 0000 errors from 10000 samples- SNR 006 dB got 0012 errors from 10000 samples- SNR 003 dB got 0279 errors from 10000 samples- SNR 000 dB got 1314 errors from 10000 samples-http://www.opencores.org/pstats
product code iterative decoder
|
code | Mar 19, 2010 | VHDL | Beta | BSD |
ecc core gn done,FPGA provenWishBone Compliant: NoLicense: GPLDescription€ Reed Solomon Decoder (204,188), with T=8.€ Input codeword length is 204 bytes and output length is 188 bytes.€ Corrects up to 8 byte errors per input codeword.€ Code generator polynomial: (x + ) (x + ^2) (x + ^3) ... (x + ^16).€ Field generator polynomial: x^8+ x^4+ x^3+ x^2+1.€ This version of the Reed Solomon core is distributed under the GPL license.An optimized and considerably more advanced version, which may becustomized on request for different code generator polynomials, isavailable under a
reed solomon decoder 204188
|
code | Nov 23, 2009 | Verilog | Stable | GPL |
ecc core Bone Compliant: NoLicense:Status- RTL done and design verified using testbench.- Will upload soon.- June 27th 2004, updated. Please click on 'Downloads' (top right on this page).- June 29 2004, There was a typo in reed_solomon.v file. The output ports d0, d1, d2, d3 actually refer to q0, q1, q2, q3 (see readme.txt file). Sorry for the confusion. Corrected now.Features- User defined generator polynomial.- Allows experimentation with diferent generator polynomials for best implementation.- Replacable Galois field multiplier submodule for a different primitive polynomial.- Can be used for sh
reed solomon encoder
|
code | Jul 3, 2012 | Verilog | Stable | Unknown |
ecc core Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
reed solomon encoder/decoder
|
code | Dec 14, 2010 | Verilog | Beta | LGPL |
ecc core nfo:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThis tool working on WinXP is used to generate verilog-RTL for Reed-Solomon Codec.- Selectable Decoder/Encoder/Both- Symbol width 3,4,5,6,7,8,9,10,11- Primitive polynomial- Erasure Enable/Disable- Configurable Data I/F- Automatically available testbench- Distributed under the GPL licenseIf you need more customize or hi-performance IP, please let us know.info@syslsi.com
reed-solomon codec generator
|
code | Aug 8, 2012 | Verilog | Stable | LGPL |
ecc core doneWishBone Compliant: NoLicense:Specifications- Hard-decision decoding scheme- Codeword length (n) : 31 symbols- Message length (k) : 19 symbols- Error correction capability (t) : 6 symbols- One symbol represents 5 bit- Uses GF(2^5) with primitive polynomial p(x) = X^5 + X^2 + 1- Generator polynomial, g(x) = a^15 + a^21*X + a^6*X^2 + a^15*X^3 + a^25*X^4 + a^17*X^5 + a^18*X^6 + a^30*X^7 + a^20*X^8 + a^23*X^9 + a^27*X^10 + a^24*X^11 + X^12. Note: a = alpha, primitive element in GF(2^5) and a^i is root of g(x) for i = 19, 20, ..., 30- Uses Verilog description with synthesizable RTL mo
reed-solomon decoder 31 19 6
|
code | Dec 1, 2011 | Verilog | Stable | Unknown |
ecc core t: NoLicense: LGPLDescriptionThis core implements Reed-Solomon decoder for the 8-bit wide symbols. The core is designed to occupy fewer amounts of logic blocks, be fast and parametrizable.Main Features:8-bit input and output data bussesFully synchronous and pipelined design using a single clock.Symbol width of 8 bitsCorrected byte number signalingDetects condition when the number of errors is too high to be correctedCan correct 2 symbols.Please, contact us if you wish to have this IP core modified or adjusted to meet your requirements.This core is provided byUnicore Systemshttp://unicore.co.ua
reed-solomon decoder/encoder
|
code | Feb 2, 2010 | VHDL | Stable | LGPL |
ecc core e Compliant: NoLicense:Reed Solomon (5, 3) Encoder-Decoder in GF(256)- Symbol width : 8-bits.- Encodes every 3-byte message into 5-byte codewords.- Capable of correcting any single symbol error (even if all the 8-bits are erronous) in a codeword.- This core has two operation modes: Encoding and Decoding.- In both operation modes, the inputs are taken in byte-by-byte at each clock cyle.- While encoding, message is input at three clock cycles and the next two clock cyles are reserved for the two parity symbols of the codeword.- While decoding, received vector is taken in at five clock cycles. Bu
rs_5_3_gf256
|
code | Jun 20, 2005 | Verilog | Unknow | Unknown |
ecc core ditional info:WishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
spread spectrum modulator and demodulator using bp
|
code | Sep 21, 2010 | VHDL | Alpha | LGPL |
ecc core NoLicense: LGPLDescriptionThis project features a double binary, DVB-RCS turbo decoder using the SOVA algorithm.Two models are included:- a MyHDL model, along with a complete testbench,- a synthesizable VHDL model.FeaturesDouble binary, DVB-RCS codeSoft Output Viterbi AlgorithmMyHDL cycle/bit accurate model and testbenchSynthesizable VHDL modelStatusRelease 0.3:- Synthesizable VHDL model- Fixed ponderation filteringRelease 0.2:- DVB-RCS interleaver- DVB-RCS puncturing (decoder only)- Controllable SNR for the noiserRelease 0.1: MyHDL model posted- Simulation system consists of a random data pa
turbo decoder 1
|
code | Nov 19, 2014 | VHDL | Beta | LGPL |
ecc core ign done,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionUltimate CRC is a CRC generator/checker. Using generics the core can be fully customized. It creates a function of the data input and the CRC register using XOR-logic. Although the levels of logic gets very high for wide data inputs, the throughput still benefits from this architecture, as can be seen from the synthesis page.FeaturesExecutes in one clock cycle per data wordAny polynomial from 4 to 32 bitsAny data width from 1 to 256 bitsAny initialization valueSynchronous or asynchronous resetStatusRevision 1.0
ultimate crc
|
code | Aug 1, 2013 | VHDL | Stable | GPL |
ecc core done,FPGA provenWishBone Compliant: NoLicense: GPLDescriptionA fully configurable VHDL Viterbi decoder compliant with the AXI4-Stream interface.Most standards using convolutional codes like Wifi or GSM are easy to implement by configuring some generic parameters.The decoder supports a high throughput even on low-cost devices.See theUser Guidefor more information about the core.FeaturesDesign-time configuration of encoder polynomials (different number of states and different code rates).Support for recursive and non-recursive convolutional codes.Windowing technique for reduced latency an
viterbi decoder axi4-stream compliant
|
code | Apr 16, 2015 | VHDL | Stable | GPL |
LIBRARY | |||||
library License: OthersDescriptionCommon Design Environment (CDE) is a library of modules that usually require replacement with specific hardmacros when the design is retargeted to a IC process. By using modules from the CDE library it will be possibleto easily make this substitution with an ip-Xact enabled tool flow without having to touch the original rtl code.
common design environment
|
code | Aug 18, 2013 | Verilog | Stable | Others |
library hBone Compliant: NoLicense:DescriptionThis project contains files you can use to expand upon the basic IEEE packages you normally use for creating testbenches and RTL code.Featuresautomatic count stop/start value generation functions. You enter a time duration and clock frequency and the value is automatically computed. Your choice of binary or LFSR number spaces.LFSR counters created by function call.clock generation procedurestype and number conversion functions:synthesizable binary_to_BCD and BCD_to_binary functionssynthesizable BCD_to_seven_segment display functionsstring value to std_lo
extension_pack
|
code | Oct 25, 2010 | VHDL | Stable | Unknown |
library iant: NoLicense: LGPLDescriptionfixed_extensions_pkg is a fixed-point arithmetic package written in VHDLaccording to the VHDL-2008 update of the standard. It uses VHDL-2008 back-compatible libraries (by David Bishop) that are included in this distributionfor the sake of completeness.Currently, the "fixed_extensions_pkg" package implements the following:-ceil:round towards plus infinity.-fix:round towards zero.-floor:round towards minus infinity.-round:round to nearest; ties to greatest absolute value.-nearest:round to nearest; ties to plus infinity.-convergent:round to nearest; ties to closest
fixed_extensions
|
code | Feb 20, 2014 | VHDL | Beta | LGPL |
library Compliant: NoLicense: LGPLDescriptionFunbase project focuses on FPGA-based embedded product development. Immediate drivers are customer driven, networked development and design effort saving methods. Special goal is to make FPGA technology accessible to SW engineers without special HW experience. The project has a web pagehttp://funbase.cs.tut.fibut the IP components will be hosted by OpenCores.
funbase ip library
|
code | May 8, 2012 | VHDL | Planning | LGPL |
library shBone Compliant: NoLicense:DescriptionPerhaps more of a collection of part than a true library, this is a set of VHDL parts that may be used as a set of building blocks for larger designs.Featurescounters, shift registers, pulse stretchers (high, low, and programmable) and other MSI partssix fixed length LFSR's (24, 36, 48, 64 bits and two that are set with Generics)two Programmable length LFSR'sclocked delay lines (fixed and programmable)control registers (individual bits may be set, cleared, or inverted)GPIOPulse GeneratorBurst GeneratorParity generatorSweep GeneratorCIC filterNCO's (some u
gh vhdl library
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
library Bone Compliant: NoLicense: GPL
mitrion virtual processor starter kit
|
code | Jun 10, 2009 | VHDL | Beta | GPL |
library oLicense: OthersDescriptionMyGPU is a library of large-scale components like FPU units, a processor and auxillary units which can be used to build SoCs, processors, etc.We do not only write verilog code, but also design layouts of our units, and develop tools to scale the layouts to appropriate tech process.
mygpu
|
code | Feb 12, 2015 | Verilog | Alpha | Others |
library hBone Compliant: NoLicense: GPLopenVeriFLA - FPGA logic analyzeropenVeriFLA is an FPGA integrated logic analyzer.It can be used for in-circuit debugging and verificationof the FPGA based applications.The FPGA part is written in verilog. The PC partis written in java and is platform independent.Being simple and well documented, the openVeriFLA libraryis well suited for didactical purposes and academic use.For more information, please unzip the project archiveand read the reference manual.Features- on-the-fly capture, graphical display, testing automationStatus- ready to useIMAGE: verifla_keyboa
openverifla-fpga logic analyzer
|
code | Mar 3, 2008 | Verilog | Stable | GPL |
library ant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
qaztronics libraries
|
code | Dec 6, 2014 | Verilog | Planning | LGPL |
library tion doneWishBone Compliant: NoLicense: GPLDescriptionThis library has functions for generating good quality random numbers in a VHDL testbench environment. The functions will NOT synthesize.Features- Based on a combination of 3 Tausworthe generators.- Distributions:- Uniform (continous)- Gaussian (continous)- Exponential (continous)- For use in test benchesStatus- Version 1.0 released.
random number generator library
|
code | Oct 14, 2007 | VHDL | Stable | GPL |
library icense: LGPLDescription"ratpack" is a rational arithmetic package written in VHDL.Currently, the "ratpack" package implements the following:- the RATIONAL data type.- to_rational: construction function of a rational given two integers(numerator and denominator).- int2rat: conversion function of an integer to its rationalrepresentation.- numerator: extracts the numerator of a rational number.- denominator: extracts the denominator of a rational number.- "+", "-", "*", "/": implementation of the basic arithmeticoperations for rationals.- abs: extracts the absolute value of a given rational numbe
ratpack
|
code | Feb 20, 2014 | VHDL | Beta | LGPL |
library roven,Specification doneWishBone Compliant: YesLicense: GPLDescriptionThis core offers a real-time clock capability to a device. Specific capabilities include 24-hour BCD time, a count down timer, a stop watch, an alarm, and an ability to precisely capture the time of an externally generated event.Other outputs include drivers for 16 LED's that will count up to each minute, 32 bits to control four digits of a seven segment display, and an interrupt strobe line which can be used to set off and edge triggered interrupt whenever the countdown timer gets to zero or the alarm goes off.The core is
real-time clock
|
code | May 26, 2015 | Verilog | Alpha | GPL |
library liant: NoLicense:General Robot Control libraryThe aim of this project is to design and implement general control, sensor and actuator ip modules for robot applications.The modules will optionally connect to a opb or WishBone bus. Configuration of the individual modules are managed through the bus. A control loop is constructed by connecting the input/output of the individual modules together. This allows for parallel connection of multiple control loops.Features- PWM encoder- 32 bit OPB interface. Drivers for Microblaze. Supports 2-phase, 1-phase and enable chopping. Programmable frequency and
robot control library
|
code | Feb 14, 2007 | VHDL | Unknow | Unknown |
library esign done,FPGA provenWishBone Compliant: NoLicense: OthersDescriptionThe srdy-drdy library provides a group of components all built around a common data-transfer protocol. This protocol is used in datapath applications and provides bidirectional flow control.Components in the library provide basic timing closure, clock domain crossing, basic and advanced buffering, and some arbitration and specialized components. The components in the library have been used in multiple successful tape-outs and FPGA designs.
srdy-drdy library
|
code | Apr 20, 2013 | Verilog | Stable | Others |
library Compliant: NoLicense: LGPLDescriptionA Verilog HDL library with frequently used functions. Care have been taken to fully support synthesis of all modules. Different versions exist for optimal synthesis support. Currently ACTEL and ALTERA are supported
versatile library
|
code | Sep 14, 2010 | Verilog | Alpha | LGPL |
MEMORY CORE | |||||
memory core PGA provenWishBone Compliant: NoLicense: LGPLOverviewI implemented 2Q cache strategy from paper "2Q: A Low Overhead High Performance Buffer Management Replacement Algorithm" written by Theodore Johnson and Dennis Shasha. It is written in VHDL and supports my FORTH-processor, which runs on a Spartan 3A DSP board from Xilinx. I think it can be adapted for other processors easily.parameters, user defineable:- blocksizeld ld of size of tagram- ldways ld of number of tagrams (n-way associative)- ldcachedwords ld of number of 32-bit words in one cachelinedefineable too:- ldram l
2q cache
|
code | Nov 26, 2012 | VHDL | Stable | LGPL |
memory core pecification doneWishBone Compliant: YesLicense: GPLDescriptionFeature:€ 8/16/32 Configurable SDRAM data width€ Wish Bone compatible€ Application clock and SDRAM clock can be async€ Programmable column address€ Support for industry-standard SDRAM devices and modules€ Supports all standard SDRAM functions€ Fully Synchronous; All signals registered on positive edge of system clock€ One chip-select signals€ Support SDRAM with four bank€ Programmable CAS latency€ Data mask signals for partial write operations€ Bank management architecture
8/16/32 bit sdram controller
|
code | Jun 17, 2014 | Verilog | Stable | GPL |
memory core nt: NoLicense: GPLDescriptionSUPERSEDED BYHPDMC.Please do not ask me about this core! It is old and totally unsupported. HPDMC support, however, is available from theMilkymist-develmailing list.
asynchronous wishbone-compatible sdram controller
|
code | Aug 7, 2010 | Verilog | Stable | GPL |
memory core PGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis module implements a synchronous FIFO using Block RAM resources such as those found in SRAM-based FPGAs. This module has been used in several products/projects based on Xilinx Spartan 3AN FPGAs. It can be adapted to other development environments and FPGAs, but only Xilinx ISE and Xilinx Spartan 3A/3AN FPGAs has been used to date.All components used in this module are inferred, including the Block RAM. This allows the depth and width to be set by parameters. Furthermore, the state of the memory, the write pointer, and FIFO flags can b
brsfmnce
|
code | Nov 1, 2013 | Verilog | Stable | LGPL |
memory core iant: NoLicense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluentfor more info.Memory interleavers are common components often found in signal processing applications. They are useful for buffering and reordering data and typically form the separation between design stages. Interleavers can be used for:- Transposing Images for Image Processing- Buffering Noncontinuous Input Data- Data Shuffling Between FFT RanksFeaturesThe memory interleaver
cf interleaver
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
memory core iant: NoLicense: LGPLDescriptionCFI flash controller IP.Provides two modes of operation - simple (Wishbone bus straight through to flash bus, essentially, but with 32-bit word read capability, allowing XIP - execute in place - for 32-bit processors) and a "CFI engine" mode, which aims to simplify interfacing with a CFI flash.Only implements asynchronous flash bus interface.System bus interface is Wishbone, or CFI engine module can be used stand-alone and provides a generic bus interface.Both modes tested with Intel P30 Strataflash part on Xilinx ML501 board.Is implemented in the ORPSoC ml501 b
cfi flash controller
|
code | Oct 23, 2011 | Verilog | Alpha | LGPL |
memory core oLicense:DescriptionThe ddr_sdr controls read and write access of a programmablelogic device to a single 256 Mbit memory device. The 32-bitwide user interface basically accepts two commands, read orwrite. The control logic initializes the memory after resetand issues refresh commands from time to time to ensure dataintegrity. The data width to the memory device is 16 bitswide and performs a double data rate operation at 100 MHzclock rate.Status- Version 1.0 available
ddr sdram controller core
|
code | Apr 30, 2013 | Unknow | Stable | Unknown |
memory core WishBone Compliant: YesLicense: LGPL
ddr2 mem controller for digilent genesys board
|
code | May 6, 2013 | Verilog | Beta | LGPL |
memory core iant: NoLicense: LGPLDescriptionThis project implements a DDR2-SDRAM Controller on a Xilinx Spartan-3A BoardModulsFunctionAfter a Power on :==================1. Init-Sequenz for the RAM2. Automaic Write-Sequenz (writes 16 Datawords each 64Bit to the RAM)3. Automatic Read-Sequenz (reads the first Dataword from the RAM)4. Display the Dataword at the 8Bit LEDsSwitch-0 :==========> SW0 is used as a Reset-SwitchSwitch-1 to 3 :===============> SW1 to SW3 selects witch part of the Datawordis shown at the LEDsButton north :==============> increments the AdresspointerButton south :============
ddr2 sdram controller
|
code | Jun 3, 2012 | VHDL | Stable | LGPL |
memory core esign doneWishBone Compliant: NoLicense: LGPLDescriptionThis is a fully synthesizable DDR3 Memory BFM. Implemented using Verilog 2001 without any vendor specific IP Block. As such, the BFM is not able to run a very high speed. Test shown that is is able to respond to WRITE and READ instruction at 10MHz.StatusMemory BFM has been tested and passes all Micron DDR3 testbench. It is also has been tested and able to passes Altera DDR3 Testbench.Has been synthesized using Xilinx ISE 13.2 and Quartus II Version 11.1 Build 173
ddr3 synthesizable bfm
|
code | Dec 3, 2011 | Verilog | Beta | LGPL |
memory core ne Compliant: NoLicense: LGPLDescriptionThis project is to develop a direct mapped cache controller for embedded applications.Key Design Features- Direct mapped with configurable address size, line size and number of cache lines- Non Pipelined architecture- No Cache flushSynthesis will be conducted using VirtexII ProProgress7th January 2010Memory(RAM) implementation completed
directmappedcachecontroller
|
code | Jan 7, 2010 | Verilog | Mature | LGPL |
memory core PGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project provides a parameterizable synchronous FIFO which uses LUTs instead of Block RAMs for its memory. The FIFO can be parameterized in depth and width. It has been used in a number of commercial products. It is primarily used for implementing small buffers for the transmit and receive functions of UARTs. (A companion project, BRSFmnCE, provides the same basic functionality using block RAMs.)Synthesis/PAR SummaryThe DPSFmnCE has been used in several projects/products. It is generally used as a small FIFO for UARTs. The following s
dpsfmnce
|
code | Nov 2, 2013 | Verilog | Mature | LGPL |
memory core e Compliant: NoLicense: LGPLDescriptionThe simu_mem project provides functional simulation models of commercially available RAMs.Advantages of the simu_mem models=================================1. Consumes few simulator memory if only few memory locations are accessed because it internally uses a linked list.2. Simulates quickly because it does not contain timing information. Fast simulator startup time because of the linked list.3. Usable for any data and address bus width.4. Works at any clock frequency.5. Programmed in VHDL.When the simu_mem models will not be useful=======================
functional simulation models for commercially avai
|
code | Nov 18, 2008 | VHDL | Beta | LGPL |
memory core NoLicense: LGPLDescriptionA very generic implementation of a FIFO. Makes good use of VHDL transactors for data transfers.
generic fifo
|
code | Feb 16, 2015 | VHDL | Beta | LGPL |
memory core venWishBone Compliant: NoLicense:DescriptionGeneric, multi-purpose FIFOs. Available as single clock and dual clock version, binary, lfsr, and gray encoded (dual clock only). All are parameterizable and use generic_memories for memory. These FIFOs are fully portable from FPGAs to ASICS.Features- Written in Verilog- Fully Synthesizable (FPGA & ASIC libraries)- Parameterized- Single and Dual ClockStatus- All FIFOs that are release are done. They have been simulated and most of them have been used in one way or another in one of my projects. Some have been verified in real hardware.- October
generic fifos
|
code | Jul 29, 2011 | Verilog | Stable | Unknown |
memory core liant: YesLicense: LGPLDescriptionTwo WISHBONE wrappers will be developed for Xilinx Memory Interface Generator (MIG). The first is compliant with version B4 Registered Feedback Incrementing Burst Cycle. The second is a non-compliant but streamlined interface developed as a proposal for inclusion as a new Burst Cycle Type geared towards interfacing with high latency devices.
high latency bursting wishbone wrapper for xilinx
|
code | Apr 7, 2011 | Verilog | Planning | LGPL |
memory core proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionHPDMC is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.Fast DDR SDRAM controller with features targeted at high-bandwidth burst-oriented applications such as live video processing. The core has been re-used by several projects and institutions, such as the NASA as part of a software-defined radio system for the ISS (CoNNeCT experiment).Features- Current design is targeted at 32-bit wide DDR SDRAM.- Dedicated non-standard high-speed bus for efficient memory acc
high performance dynamic memory controller
|
code | Aug 26, 2010 | Verilog | Stable | GPL |
memory core e Compliant: NoLicense:DescriptionHSSDRC IP core is the configurable universal SDRAM controller with adaptive bank control and adaptive command pipeline.HSSDRC IP core and IP core testbench has been written on SystemVerilog and has been tested in Modelsim.HSSDRC IP core is licensed under MIT LicenseFeaturesThe main features of HSSDRC IP core are :- Adaptive SDRAM bank control: command sequence is depending upon previous accesses to the RAM.- Adaptive command pipeline control: bank control commands for following memory access commands are pipelined into previous command processing chain whenev
high speed sdram controller with adaptive bank man
|
code | Dec 20, 2009 | Other | Alpha | Unknown |
memory core nt: NoLicense:DescriptionCheck the memory cores site for more documentation atJamil Khatib site.Status- VHDL codes are stable and available on the CVS- Some cores need test benchs- we need more memory cores with different features- we need more people to test the cores on real hardware- You can download the memory codes from the CVS using the module name "memory_cores" and for new cores use module name "memory_cores2".-Note: it is recommended to download the whole module because files are dependent on each other
memory cores
|
code | Oct 14, 2001 | Unknow | Stable | Unknown |
memory core nt: YesLicense:DescriptionThe memory_sizer project is designed to automatically handle accesses to and from memory. It does not handle refreshing DRAM at all, but it does automatically generate the cycles needed to fulfil a memory request by a processor. For example, it can load 32-bit words from byte wide memory (if you want to boot from a single byte-wide flash chip, for instance). Alternatively, it could load 16-bit words from byte wide memory. It also handles loading and storing bytes from 32-bit wide memory and 16-bit memory, although the memory in this case must support the use of "b
memory sizer
|
code | Dec 21, 2001 | Unknow | Stable | Unknown |
memory core e Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
nand controller onfi compliant
|
code | May 27, 2015 | VHDL | Planning | LGPL |
memory core provenWishBone Compliant: NoLicense: GPLDescriptionThe OPB PSRAM-Controller connect a Pseudo-Staic-RAM, also named CellularRAM„˜ to the OPB-Bus.FeaturesDesign- max. 80 Mhz Memory Clock for a Spartan-3 1500 FPGA- synchronous design, no DCM/DLL neededPerformance with micron MT45W8MW16BGX-701- 32-Bit Write: 3 Clock cycles- 32-Bit Read: 8 Clock cyclesStatus- Design Phase done- Simulation Tests done- Real-World Tests done
opb psram controller
|
code | Feb 16, 2008 | VHDL | Stable | GPL |
memory core Compliant: NoLicense: LGPLDescriptionOpen FreeList ReadmeThe Open FreeList module is used to manage a set of variable sized packets inside a fixed memory block. The memory block is partitioned into fixed sized chunks and each packet uses one or more chunks. The module offers three possible actions:Write a packet into memoryRead a packet from memoryRelease a packetParametersNameDescriptionUnitDefault ValueRAM_WMemory block widthbits128RAM_EMemory block extra databits0RAM_SMemory block sizeKBytes64CHK_SChunk sizeBytes128RAM_TYPEMemory block typestring"MRAM"FL_AEMPTY_LVLFreeList almost empty
open freelist
|
code | Feb 16, 2010 | Verilog | Beta | LGPL |
memory core GA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionopenHMC is an open-source project developed by the Computer Architecture Group (CAG) at the University of Heidelberg in Germany. It is a vendor-agnostic, AXI-4 compliant Hybrid Memory Cube (HMC) controller that can be parameterized to different data-widths, external lane-width requirements, and clock speeds depending on speed and area requirements. The main objective of developing the HMC controller is to lower the barrier for others to experiment with the HMC, without the risks of using commercial solutions. F
openhmc
|
code | May 12, 2015 | Verilog | Stable | LGPL |
memory core cense:DescriptionParameterisable DRAM model, i.e. scalable data and address widths. Simulation assertions can be toggled on/off. Uses !RAS/!CAS control sequence for modelling DRAM activity. Refresh is monitored with data corrupted to "UU ... "Status- VHDL code is available (see Downloads)Author- Damon P Thompson
parameterisable dram model
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
memory core ishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
parametrized fifo based on srl16e
|
code | Apr 19, 2010 | VHDL | Stable | LGPL |
memory core ne Compliant: YesLicense: LGPLOverviewThis is a wishbone B3 compliant RAM memory. The memory array is defined as a 32 bit memory. This gives two valuable benefitsmemory array can be mapped into one block RAM with no need for byte select during synthesismemory content can be initialized with CPU instructions with no need to split content into byte chunks
ram_wb
|
code | Mar 10, 2011 | Verilog | Beta | LGPL |
memory core ompliant: NoLicense: LGPLDescriptionDDR SDRAM controller core targeted at the mt46v32m16 chip on the Spartan3e Starter Board. The testbench synthesizes and runs on the targeted hardware. Additionally I've integrated this controller core into an SoC design consisting of a T80 soft cpu with a VGA controller, Flash controller and UART.The design is more or less frozen, unless I change out soft CPUs and need to integrate again. Further changes will be driven by bug discoveries/reports.
scratch ddr sdram controller
|
code | Sep 16, 2012 | VHDL | Beta | LGPL |
memory core shBone Compliant: NoLicense: LGPLDescriptionThe main purpose of this project was two-fold. The first purpose was to implement a client-server test architecture based on Bergeron's work in VHDL. The main advantage to this verification method is greater stress-test ability and removes the need to create test script language to test the DUT.The second purpose was to bench-mark the running speed of the ASRAM implemented as three different architectures.1. Linked-list2. Bit-vector3. regular std_logic_vector implementation.Features- Demonstrates client-server testbench architecture in VHDL.- bit-vec
single port asram
|
code | Dec 27, 2010 | VHDL | Stable | LGPL |
memory core provenWishBone Compliant: YesLicense: LGPLDescriptionThis is a wrapper for an inferred single port RAM, that converts it into a Three-port RAM with one WISHBONE slave interface for each port.Very useful as a drop-in module to create configuration registers for any core.Features- Generics for data and address widths of internal RAM- Included inferred single port ram (but ready to use an instantiated SPRAM component, i.e.: generated by coregen)- Wait states are reduced to the very minimum (writes immediately acked)- Provides a way to lock access to only one port at a time (by keeping wb_cyc line
sp_ram to 3p_ram wishbone wrapper
|
code | Jan 23, 2009 | VHDL | Stable | LGPL |
memory core one Compliant: NoLicense: LGPLStatus- Simulated and ( 16 and 32 ) programmed into a Spartan 3 FPGA- Synthesised with ISE 10.1- looking at a generic srl fifo now ise can handle suchDescriptionSynchronous FIFO's based upon the SRL feature found in Xilinx FPGA's.Built to be small.In a Spartan 3, the 8 bit wide , 16 bit deep FIFO utilises19 Lutsof which 8 are used as SRL, 11 as Logic.FeaturesPure VHDL, no instantiated components, all inferredsmall size
srl_fifo
|
code | Feb 28, 2011 | VHDL | Stable | LGPL |
memory core ense:DescriptionThe 'SSRAM interface core' is a collection of designs for easy integration of synchronous srams (ZBT srams) in your designs.Core descriptionCurrently 2 designs have been implemented. ssram_conn and cs_ssram.The entity ssram_conn provides a standard interface to the ssram. It provides the pipeline correction and all IO structures needed for high speed bidirectional data transfers (including full FPGA IO-cell usage).The entity cs_ssram uses the standard interface to turn the ssram into a cycle shared memory. Because ZBTs feature zero bus latency there is no impact on throughput.
ssram interface
|
code | Oct 14, 2001 | Unknow | Beta | Unknown |
memory core :Design doneWishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
synchronous_reset_fifo with testbench
|
code | Dec 19, 2011 | Verilog | Stable | LGPL |
memory core oven,Specification doneWishBone Compliant: NoLicense: LGPLIntroductionThe FIFO implementation outlined in this document can easily be configured to suit the followingasynchronous FIFO with different clock domains for read and write sidessynchronous FIFO with programmable flagsmultiple FIFO sharing the same memory resourceThis FIFO can easily be extended to have common wishbone interface for all individual FIFO channels.
versatile fifo
|
code | Feb 11, 2014 | Verilog | Stable | LGPL |
memory core ishBone Compliant: YesLicense: LGPLOverviewThis is a modular memory controller supporting different types of memories. Initial design will have support for SDR SDRAM. Upcoming releases will add support for DDR SDRAM and possibly other variants as wellThe design is built with the following modulesWishbone interfaceDual async FIFO buffersSpecific memory controllerWishbone interfaceThe wishbone interface supports up to 8 independent interfaces where 4 are high priority real time portsDual async FIFO buffersThis design uses up to 8 outgoing and up to 8 incoming FIFO queues. On the outgoing channel
versatile memory controller
|
code | Nov 4, 2010 | Verilog | Planning | LGPL |
memory core hBone Compliant: YesLicense: LGPLDescriptionThis project provides a bridge between asynchronous external memory interfaces found on many processors and a WishBone bus. It is being used on the de1_olpcl2294_system project.
wb_async_mem_bridge
|
code | Dec 4, 2009 | Verilog | Alpha | LGPL |
memory core done,FPGA provenWishBone Compliant: YesLicense:OverviewThis IP provides a 8-bit or 16-bit bridge for a 32-bit WishBone interface. There is no buffering. The 32-bit transaction is delayed until the transaction is complete. An example using the wb_size_bridge is included that interfaces to an asynchronous memory. The asynchronous memory module has configurable setup times, hold times, and big/little endian support.
wb_size_bridge
|
code | Feb 4, 2010 | Verilog | Stable | Unknown |
memory core PGA provenWishBone Compliant: YesLicense: LGPLDescriptionWishbone to Parallel FLASH interface with integral wait-state generator. This design has been used with the Intel StrataFlash Xilinx Spartan 3E Starter Kit. Provides an 8-bit data interface to the FLASH, and a 32-bit Wishbone Slave Interface with byte enables.The StrataFlash on the S3E Starter Kit can be programmed using the PicoBlaze RS-232 StrataFlash„˜ Programmer downloadable from the following site:http://www.xilinx.com/products/boards/s3estarter/reference_designs.htmFeatures- Compatible with Intel StrataFlash J3 on Xil
wishbone flash interface for parallel flash
|
code | Jul 20, 2008 | Verilog | Stable | LGPL |
memory core o:WishBone Compliant: NoLicense: LGPLDescriptionThis module uses an interface to SPI serial FLASH memory devices to allow reading/writing/erasing of the FLASH. It includes a state machine that coordinates many of the required commands automatically, to make the process of reading and writing SPI FLASH appear as though a simple RAM is being used. Moreover, the state machine has an initialization mode which can read bytes out of the selected SPI FLASH device and present them on an 8-bit parallel output port. This initialization mode can be set up so that the module takes action immediately aft
wishbone interface for spi flash
|
code | Sep 7, 2013 | VHDL | Beta | LGPL |
memory core provenWishBone Compliant: YesLicense:DescriptionThis is a ZBT SRAM controller which is Wishbone rev B.3 compatible (classic + burst r/w operations).PLEASE NOTICE THAT THIS CORE IS LICENSED UNDERhttp://creativecommons.org/licenses/by-nc-sa/3.0/(Creative Commons Attribution-Noncommercial-Share Alike 3.0 Unported). That means you may use it only for NON-COMMERCIAL purposes.It has been simulated and verified on a Xilinx Virtex-5 FPGA board of type ML-506.This core is Wishbone compliant, using registered feedback cycles.The only quirk is that, in burst operations, the "wb_tga_i" input must be '0' d
zbt sram controller
|
code | Sep 4, 2009 | VHDL | Stable | Unknown |
OTHER | |||||
other ,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionController for 16 character - 2 line LCD displays as used on various Xilinx evaluation boards.Features- 4-bit LCD data interface- One 128bit-wide std_logic_vector input for each diplay line (16x8bit=128). Everything you send to those inputs goes directly to the display.Synthesis- Tested on Xilinx ML501 and ML507- Virtex5: 37 flip flops, 228 LUTs, >300MHz
16x2 lcd controller
|
code | Nov 28, 2012 | VHDL | Stable | LGPL |
other one Compliant: NoLicense: OthersDescriptionPublic domain code of the 2nd order Sigma-Delta DAC. Allows to produce reasonable quality audio signal from single digital ouput pin in the FPGA.The resource consumption is very low (24-bit version of the DAC consumed 5% of slices in xc3s200).Detailed descriptionThis project implements 2nd order DAC, which I have created whenI needed to add the voice output to one of my FPGA based systems.The converter generates 1-bit digital signal on the dout output.You need to connect a simple RC lowpass filter to convert it intothe analog signal.There are d
2nd order sigma-delta dac
|
code | May 1, 2014 | VHDL | Mature | Others |
other ovenWishBone Compliant: YesLicense:DescriptionInterface an 8051-compatible microcontroller with the Wishbone bus.Features- Multiplexed 8051 address/data bus to Wishbone Master- Very simple, very small.- Since 8051 has no way to add additional wait-states via an external pin, the Wishbone must be fast enough to complete the cycle in time for the 8051.Status- Tested with Silicon Labs C8051 Microcontroller and Xilinx Coolrunner2 CPLD.- Tested with Silicon Labs C8051 Microcontroller and Xilinx Spartan3 FPGA.- this core is used in the Altair32 Front Panel: www.altair32.com
8051 slave to wishbone master interface
|
code | Jul 25, 2008 | Verilog | Stable | Unknown |
other shBone Compliant: NoLicense:Descriptiona VHDL version of the Intel 8254 timer.Note: uses a synchronous (Wishbone) processor interface, rather than an asynchronous of the Intel 8254.Design assumes asynchronous interface/counter clocks €“ includes Boolean generics (for each counter) if the same clock is used for interface and counter, or if the clocks are synchronous (different frequency, but with aligned rising edges)FeaturesUses parts from the gh_vhdl_library projectStatusadded version with AMBA APB interface 16 Aug 2008
vhdl 8254 timer
|
code | May 14, 2013 | VHDL | Stable | Unknown |
other done,Specification doneWishBone Compliant: NoLicense: LGPLUsage and OperationIn order to operate the circuit correctly it must first be reset (asynchronously).Below is a timing diagram that illustrates the reset pulse timing requirements.Note: the circuit only needs to be reset once to operate properly. Every time the divide factor N changes, the circuit automatically resets itself.SpecificationsThe adjustable frequency divider is designed in two parts:Even DividerWhen the input signal 'N' is set to an even number the even divider is used because the output will be synchronized with the r
adjustable frequency divider
|
code | Mar 3, 2011 | Verilog | Stable | LGPL |
other e Compliant: YesLicense: LGPLDescriptionThe Advanced Debug Interface is a suite of IP cores and software programs designed to allow a developer to download code to a target CPU in a System-on-Chip, then perform source-level debugging of that code. In particular, target systems using the OpenRISC 1200 processor and a WishBone bus are currently supported by the Advanced Debug Interface.This system includes four components. The first component, the "adv_dbg_if" core, is a hardware core designed to interface directly to the OR1200 CPU and a WishBone bus, controlling the CPU and reading and writi
advanced debug system
|
code | Jul 4, 2013 | Other | Beta | LGPL |
other ishBone Compliant: NoLicense: OthersDescriptionThe Artificial Intelligence System is a neuromorphic FPGA/ASIC project undertaken by a number of volunteers with the scope of simulating real-time celullar and sub-cellular biological processes.
aisystem
|
code | Mar 31, 2012 | VHDL | Alpha | Others |
other ne Compliant: NoLicense:DescriptionAlternaScope provides a cheap alternative to expensive oscilloscopes; Using a VGA display and a simple mouse interface, a user can use this scope to look at and measure signals up to about 80Mhz.This kind of scope would be ideal for hobbyists and students looking to learn and debug circuits.Development is based on the Spartan III Starter Kit from Xilinx. The ADC is simply controlled by an MCU (another starter kit: the ATK500 from Atmel) but will soon be controlled by the FPGA (to achieve the faster speeds).In the future, schematics and PCB layout binaries wil
an alternative oscilloscope
|
code | Dec 20, 2009 | Verilog | Beta | Unknown |
other ion doneWishBone Compliant: NoLicense: GPLDescriptionThis module is software compatible with the PSG (Programmable Sound Generator) AY-3-8910. It can be used to produce music.
ay-3-8910 compatible module in verilog
|
code | May 15, 2013 | Verilog | Beta | GPL |
other License: LGPLDescriptionSimple backtracking 9x9 Sudoku solver written in Verilog. Uses an exact cover algorithm to quickly find a solution with minimal backtracking (C implementation of algorithm provided too).High wiring complexity due to explicit "neighbor" interconnect (row, column, and 3x3 sub-block) may result in unroutable designs on FPGA families with reduced routing resources.Working on an Zynq XC702 FPGA.
backtracking sudoku solver
|
code | Sep 5, 2013 | Verilog | Alpha | LGPL |
other oLicense: GPLDescriptionUses the shift register technology to create a big counter, that gives out a pulse at the period specified as a genericFeaturesDesigned for Xilinx FPGA's, with SRL's.An efficient way of generating a divide by n**16 counter, where N can be very big.Statusbasic counter in cvs
bigcounter
|
code | Dec 20, 2009 | VHDL | Beta | GPL |
other ne Compliant: NoLicense:DescriptionThis project is a collection of small designs involved with clock boundaries.The clock_switch designs are based on an eetimes article.The bc_fifo_basic design is based on ideas from generic_fifo_dc_gray.Features- debouncer: debounce a mechanical switch.- clock_switch2_basic: select 1 of 2 clocks, no glitches.- clock_switch3_basic: select 1 of 3 clocks, no glitches.- clock_switch4_basic: select 1 of 4 clocks, no glitches.- clock_switch8_basic: select 1 of 8 clocks, no glitches.- oc_fifo_basic: a one-clock fifo- bc_fifo_basic: a boundary-crossing fifo- clock_de
boundaries
|
code | Dec 20, 2009 | Verilog | Stable | Unknown |
other ishBone Compliant: NoLicense: GPLDescriptionThis is for the final project of EC551 Advanced Digital Design using Verilog, a course offered in Boston University. It's basically the classic pacman game on Spartan3 FPGA dev board by Digilent. Team member: Huaxin Dai, Nael Musleh, Krishnan Nair.Features- PS/2 Keyboard Input- WSAD direction control, with reset and pause function- Use standard PS/2 keyboard, no more pushbuttons or 4x4 mini keyboards.- VGA OutputStatus- PS/2 keyboard Interface basically done: may have problem when pressing multiple keys- Basic VGA display done: something shows up on
bu pacman
|
code | Dec 20, 2009 | Verilog | Alpha | GPL |
other ,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionsimple fast bubble sort module in verilog
bubblesortmodule
|
code | Mar 30, 2014 | Verilog | Stable | LGPL |
other e Compliant: NoLicense: BSDDescriptionClock Domain Crossing micro FIFO (Verilog/SystemVerilog):cdc_ufifo provide an minimalist fifo. Most advantage - not use RAM blocks.it can be 4 buffer data cells minimum.by default used implementation without ram, only standart register cells used, and it can be selected if need. most slowest stage is the output multiplexorShadowed outputs: provide an register after multiplexer to remove data unsynchronized changes from outputs when skiped some cycles.tested:CycloneII project works on up to 50 MHz data transfers
cdc micro fifo
|
code | Jan 28, 2011 | Verilog | Stable | BSD |
other doneWishBone Compliant: YesLicense: BSDDescriptionThe Computer Operating Properly Module, COP, is a watchdog timer module that triggers a system reset if it is not regularly serviced by writing two specific words to its control registers. The intention of the module is to bring an embedded system back to a €œgood€? state after the software program has lost control of the system.
computer operating properly
|
code | Jan 27, 2010 | Verilog | Beta | BSD |
other t: NoLicense: LGPLDescriptionThis application parses a Verilog define file and presents a GUI to the user
configurator
|
code | Aug 24, 2011 | Verilog | Beta | LGPL |
other mpliant: NoLicense: GPLCONNECT-6 SOLVERConnect-6 is usually played on a 19 — 19 GO Board, with each player having either black orwhite pieces. The Black starts the game with only one move, and after that each player makestwo moves at a time. The game stops when one of the player forms a vertical, horizontal ordiagonal line connecting six pieces of his color, or the board is full.The fact that makes this game more interesting, is that each player makes two moves at a time,except for the first move. This considerably increases the search space for moves and end-games.Because of these reaso
connect-6 solver
|
code | Feb 14, 2012 | C/C++ | Beta | GPL |
other t: NoLicense: LGPLDescriptionThis is a date/time keeping core. It uses an external 100,60 or 50 Hz time-of-day signal to update a group of BCD counters which record the date and time. The date and time is presented as a 16 digit BCD format YYYYMMDDHHMMSSJJ which fits into a 64-bit word.Features- optional 50,60, or 100 Hz time-keeping- 64 bit bus interface- internally decoded to respond in address range $DC0400-$DC0418- Mars timekeeping option (millennium style calendar)- leap year tracking- independent system bus and time-of-day clocks- alarm setting
datetime
|
code | Jun 17, 2012 | Verilog | Planning | LGPL |
other Compliant: YesLicense: GPLDescriptionA VHDL implementation of the open DEFLATE data compression algorithm. The DEFLATE standard is specified in RFC 1951 and was jointly developed by Jean-loup Gailly and Mark Adler. More information about the DEFLATE algorithm is available on the zlib library home page www.zlib.orgThe full text of the deflate specification and a brief explanation are available on :http://www.gzip.org/zlib/rfc-deflate.htmlAt the core the algorithm uses LZ77 compression, a veriy nice explanation for which is available on the zlib website and I have quoted belowLZ77 compressionLZ
deflate
|
code | Dec 20, 2009 | VHDL | Alpha | GPL |
other NoLicense: LGPLDescriptionThis is an easily configurable systolic array of processors to compute the optimal alignment between two DNA sequences. It supports affine gap penalties, and is configurable between local (smith-waterman) and global (needleman-wunsch) alignment algorithms by setting an internal register. All code is in Verilog.
dna sequence alignment accelerator
|
code | Aug 17, 2009 | Verilog | Alpha | LGPL |
other ovenWishBone Compliant: YesLicense:DescriptionThis is a Motorola DragonBall/68K to Wishbone bridge. The core translates the 16bit DragonBall/68K bus into a full featured 16bit Wishbone master bus.Features- 16bit Motorola DragonBall/68K Interface- 16bit full featured RevB.3 Wishbone Classic Master interface- programmable address-bus size- static synchronous design- fully synthesisable- 6LUTs in a Spartan-II, 32LCELLs in an ACEXStatusDesign is finished and available in Verilog for download from OpenCores CVS.
dragonball/68k wishbone interface
|
code | Feb 14, 2004 | Verilog | Stable | Unknown |
other se:FeaturesLeGall 5/3Max image size: 512x512 grey scaleMax levels: 7Tools: ISE Foundation 6.3i; ModelSim 5.7gDescriptionThis core implements Forward and Inverse Discrete Wavelet Transform (FDWT and IDWT) on still image. Wavelet LeGall 5/3 is selected in design. The project is simulated on ModelSim 5.7g and going to implement on Spartan-3 Starter Kit.Status11/10/2004: started20/12/2004: Core is correctly simulated on Lena image (512x512).
dwt coprocessor on still image
|
code | Dec 20, 2009 | VHDL | Beta | Unknown |
other iant: NoLicense:DescriptionThe aim of this Core is to track the first file savedinto a FAT16 volume and to read the information from it offering those data to a Wishbone bus trough a Wishbone slave interface. The Core has an IDE interface that permits the attachment of devices as Compact Flash (no DMA support). It uses about 300 Xilinx Spartan II slices (if Area optimization is chossen about 285).Internally it has two Modules that can be used indepently. Both of them are implemented using a Picoblaze Programmable State Machine, using Xilinx BlockRams for instructions. Those modules are:1 - HOS
first file reader fat16
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
other e: GPLDescriptionThis project is to design a Fuzzy Logic Hardware Accelerator (FLHA) that is WishBone compatible. FLHA is capable of generating fuzzy rule matrix and inference for it. The input and output data will be 64-bit. Each input and output data will have 8 elements in each universal space, and each degree of membership is a discreet set of 256 members from 0 to 1. For the rule matrix, it can either generate it based on the input data or directly input it to its matrix. When the rule memory has already builded, a master can read the whole matrix from this FLHA. For inference, the output
fuzzy logic hardware accelerator
|
code | Dec 25, 2013 | VHDL | Beta | GPL |
other : NoLicense:DescriptionCVS is currently out of date, update when I get the time (as well as things such as schematics)Custom built and designed video game system. Also includes hardware interface C routines and code for StarCell XF-1 (the 'release' game). All designs are open source and specification are free to be modified by the community. Specifications are currently for an early 16 bit system.Specifications- Graphics accelerator (XESS XSA-50 Spartan II based development board)- 320x240 resolution at 64 colors, plus 64 possible intensity field colors- 8 MB graphics RAM (approximately 7.5 MB
g9 impulse video game system
|
code | Dec 20, 2009 | Unknow | Beta | Unknown |
other provenWishBone Compliant: NoLicense: LGPL
general-purpose pulse-processing algorithm
|
code | Dec 5, 2011 | VHDL | Stable | LGPL |
other ,FPGA provenWishBone Compliant: NoLicense: OthersDescriptionVectorial generator:-Interface: bit or bus-Configuration: dynamic-Applications: waveform generator, serial or parallel communicationExamples:-Included in the own .vhd headfileConfiguration:-It is necessary to adjust the following type which defines the input size (it affects to area resources):SUBTYPE valores_vector IS INTEGER RANGE -1 TO nat_synth_65536'high; -- values range for each sample (always from -1)TYPE vector_integer IS ARRAY (nat_synth_128'high DOWNTO 0) OF valores_vector; -- number of samples*2where:SUBTYPE nat_synth
gen_vectorial
|
code | Mar 31, 2013 | VHDL | Stable | Others |
other provenWishBone Compliant: NoLicense: OthersStatus- [Match 3rd - 2009] Initial RTL benchmark downloads from Imperial College addedDescriptionGroundHog 2009 is a benchmark suite for reconfigurable architectures in the mobile domain. The benchmark suite can be downloaded fromhttp://cc.doc.ic.ac.uk/projects/GROUNDHOG/.The benchmark suite includes designs described in a high-level format that is non-synthesizable. For this reason, this project on OpenCores is a space where individuals in the community can release synthesizable (and compilable) versions of their implementations of the 6 benchmarks
groundhog 2009-benchmark suite for mobile applicat
|
code | Nov 2, 2011 | Verilog | Beta | Others |
other cense: GPLDescriptionDescription of project..Features- feature1- feature1.1-feature1.2-feature2
gsc
|
code | Feb 22, 2011 | Verilog | Stable | GPL |
other ant: NoLicense: GPLHardware looping unitTha main purpose of the hardware looping unit (HWLU) is to enhance program control units found in modern microprocessors, by efficiently handling loop increments and branches in nested loop structures. It is based on recently published work (details can be found in the specification document). The main advantage of the presented architecture is that successive last iterations of nested loops are performed in a single cycle. This architecture can be useful in the case that all data processing in context of a nested loop structure is performed in the inner
hardware looping unit
|
code | Jul 9, 2011 | VHDL | Stable | GPL |
other PGA proven,Specification doneWishBone Compliant: YesLicense: GPLDescriptionThis project features a full-hardware sound compressor using the well known algorithm: IMA ADPCM.The core acts as a slave WISHBONE device.The output is perfectly compatible with any sound player with the IMA ADPCM codec (included by default in every Windows). Includes a testbench that takes an uncompressed PCM 16 bits Mono WAV file and outputs an IMA ADPCM compressed WAV file.Compression ratio is fixed for IMA-ADPCM, being 4:1.PLEASE NOTICE THAT THIS CORE IS LICENSED UNDERhttp://creativecommons.org/licenses/by-nc-sa/3.0
ima adpcm sound encoder
|
code | Apr 27, 2011 | VHDL | Stable | GPL |
other e Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
interrupt controller 68k
|
code | Mar 18, 2014 | Verilog | Mature | LGPL |
other DescriptionThis implementation of the Test Access Port (TAP) is fully IEEE 1149.1 compliant. It includes a TAP controller, a 4-bit instruction register and three test data registers: idcode register, bypass register and boundary scan register. Boundary scan register is connected to eight pins (2 inputs, 2 outputs, 2 tristatable outputs and 2 bidirectional pins). Besides the Verilog code, a BSDL file is also provided. The number of pins can be easily increased by following the instructions. The design had been tested with the JTAG Technologies testing equipment (The TAP controller was implement
jtag test access port tap
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
other ishBone Compliant: NoLicense: GPLDescriptionThe controller scans the keyboard by making a different column in "rows" logic-0therefor the inputs "cols" have to be PULL-UP high. It processes the inputs "cols" andthe newly found keychange (keypress or keyrelease) is converted to the correspondingscancode (translated set2). Note that an interrupt pin is attached as well to make itpossible to connect this controller to a PIC.Also note that the keyboard_controller uses an internal clock divider to dividethe system clock of 50 Mhz to 100 kHz. Should you want to use an other frequencythan 100 kHz plea
keyboardcontroller
|
code | May 3, 2011 | VHDL | Stable | GPL |
other YesLicense:DescriptionThis is a very small and simple module, which scans through an X-Y matrix of keys, and produces a "snapshot" of bits which represent the sampled state of the keyswitches during the scan.There is memory in the module, so that the outputs are held constant during a scan, and updated simultaneously. The keys are sampled sequentially, but the memory stores up all of the keyswitch data until the final output "snapshot" is produced.This module is parameterized Verilog, and is recommended for use with small matrix type keypads. It has been tested in real hardware.Features- Par
keypad scanner
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
other nt: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
lcd block
|
code | May 18, 2012 | Verilog | Planning | LGPL |
other ne,FPGA provenWishBone Compliant: NoLicense: LGPLDescription LFSR Counter Generator is a command-line application that generates Verilog or VHDL code for an LFSR counter of any value up to 63 bit wide. The code is written in C and is cross-platform compatible. There is an online version of the tool atOutputLogic.comIt's more convenient to access, but the online tool is slower to generate the code for large counter values.
lfsr counter generator
|
code | Dec 20, 2009 | C/C++ | Stable | LGPL |
other WishBone Compliant: NoLicense: LGPLDescriptionHave you ever wanted to add some color to your project? Then this might be your answer. The LPD8806 RGB LED strings are available for low cost from various sites on the internet, and they come in strips which can be cut or joined to the desired length. Since the Red/Green/Blue (RGB) LEDs on the strip are driven by a serial controller IC that is also on the strip, your project can set each LED color independently of the others.The connections to the LED strip include 4 wires: +5V, GND, clock and data. It turns out that these LED strips will also
lpd8806 rgb led string driver
|
code | Aug 20, 2014 | VHDL | Stable | LGPL |
other ishBone Compliant: YesLicense: LGPL[EN] DescriptionThe aim of the project is to develop oscilloscope functions (conversion control, trigger, FFT, ...) in several cores and implement a usefull oscilloscope in a development board. The results are shown in a computer with a simple software.The software will be developed using LGPL tools and distributed under such license, like the cores.The beginig of this project is part of a universitary work in National University of San Luis, Argentina. For now, it is only a unipersonal project, but in some months it will have more maintainers.[EN] Features
modular oscilloscope
|
code | Oct 15, 2011 | VHDL | Beta | LGPL |
other proven,Specification doneWishBone Compliant: NoLicense: LGPLDevelopment StatusThe core is tested and is being used in FPGA hardware in several projects.The scope screen below shows 7 switches being debounced with 50us of debounce time. See in the photo at right the 1 clock cycle STRB pulse right after the output register loading. The system clock in the example is 100MHz.Related LinksThis core is being used in the SPI_MASTER_SLAVE verification test circuit:http://opencores.org/project,spi_master_slaveTo get the latest version:http://opencores.org/download,debouncer_vhdlIf you have issues you
multiple switch debouncer in vhdl
|
code | Apr 20, 2013 | VHDL | Stable | LGPL |
other cense: LGPL
nios ii custom instructions
|
code | Feb 23, 2012 | VHDL | Alpha | LGPL |
other ense:DescriptionStatusI just started reading the Ogg Vorbis spec. and I'm seeing if other people are interested.
ogg vorbis encoder/decoder for virtex-ii pro
|
code | Apr 19, 2010 | Unknow | Planning | Unknown |
other t: NoLicense: LGPLDescriptionThis project will have a slow start .. I won't be able to support new joiners until at least 2016.However, advice and experience is welcome, especially if there are LGPL/BSD projects I missed or that can be reused (eg the 'esoc')ExpectationThe open_hitter will be accessible to fpga newbies yet offer a realistic high frequency trading system, in open source. In delivery terms, an HFT techie might buy an ebay ML605 demo board and use an old Mac to demonstrate an out-of-the box working and extendable prototype at their workplace, and so bring more firms into this tec
open hitter for traded options and futures
|
code | Apr 21, 2015 | VHDL | Planning | LGPL |
other Compliant: NoLicense: GPLDescriptionThis is a Tcl/Tk script to configure OpenRisc 1200 options.I use it to configure the core and I think it could be usefull for other people.The look is very similar to LEON graphicall configuration tool.For comments, feedback, patches or whatever you want javier.castillo@urjc.esThis tool is provided under the GPL license Universidad Rey Juan Carlos (Spain)www.gdhwsw.urjc.es
openrisc 1200 graphic configuration tool
|
code | Apr 9, 2010 | Other | Stable | GPL |
other t: NoLicense: LGPLDescriptionSee video on YouTube:http://www.youtube.com/watch?v=UsYXRPRBsmk
oscilloscope
|
code | Sep 18, 2012 | Verilog | Beta | LGPL |
other oneWishBone Compliant: NoLicense: LGPLDescriptionCRC Generator is a command-line application that generates Verilog or VHDL code for a parallel CRC of any data width between 1 and 1024 and polynomial width between 1 and 1024. The CRC can be custom or protocol specific, for example PCI Express, USB5, USB16, 802.3, SATA.The code is written in C and is cross-platform compatibleThere is an online version of the tool atOutputLogic.comIt's more convenient to access, but the online tool is slower to generate the code for CRC with large data and polynomial widths.
parallel crc generator
|
code | Jul 30, 2014 | C/C++ | Stable | LGPL |
other e:DescriptionPerlilog is a design tool, whose main target is easy integration of Verilog IP cores for System-on-Chip (SoC) designs.At a smaller scale, Perlilog is a great starting point for writing scripts which handle Verilog code in general. It comes with a rich set of functions, that can be used for several purposes, such as instantiation of ASIC pads, automatic connection and generation of simple Verilog modules, and so on.The philosophy behind Perilog is that an IP core should be like a black box. Fitting it for a certain purpose should be as easy as defining the desired requirements. Con
perlilog
|
code | Mar 6, 2004 | Unknow | Beta | Unknown |
other sign doneWishBone Compliant: NoLicense:DescriptionPicoblaze's interrupt controller expands picoblaze's interrupt (up to 8-interrupt sources is supported).The controller is put as input port. If interrupt occurs, the firmware will need to read this port, do some interrupt handling, turn-off/ACK the interrupt source and ACK the interrupt controller.The firmware's sample code, along with testbenches and picoblaze implementation is within the project.Free beer for any excellent ideas that is accepted..(but you will need to visit me in Bali first ^^ )..Features- Configurable input sources- Based on
picoblazes interrupt controller
|
code | Dec 22, 2006 | VHDL | Beta | Unknown |
other liant: NoLicense: LGPLDescriptionFast binary counter, designed to minimize logic path length in between flip-flopsto one gate (MUX/AND) only, at the expense of not so straightforward binary counting.Aimed to slow architectures without fast carry chain.SummaryThe reason for this design has emerged while using Actel (MicroSemi)ProASIC/IGLOO architecture, lacking any hardwired support for fast carry.During our work on Actel FPGAs (basically, 3-LUT & DFF only), we wereaware of following types of faster counters:- LFSR counter- Johnson counter- "RLA counter" (as tailored using Actel's SmartGen
pipelined synchronous pulse counter
|
code | Oct 1, 2012 | VHDL | Beta | LGPL |
other doneWishBone Compliant: YesLicense: BSDDescriptionThe Programmable Interval Timer Module, PIT, is a simple timer to generate aperiodic signal for a microcontroller system. This signal may be used fora variety of purposes such as triggering the start of an Analog to Digital orDigital to Analog conversion, as a periodic system interrupt, real time clockupdate, or to synchronize the start of various other hardware processes.Features 16 bit Main Counter with programmable modulo 15 bit Prescale Counter with programmable modulo selections Slave mode for synchronizing multiple PIT modules Interrupt o
programmable interval timer
|
code | Feb 10, 2010 | Verilog | Beta | BSD |
other one,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project is a Logic Unit that works as an interface between the PS/2 keyboard and any other microprocessor. It outputs the scan code of the key being pressed, it count the number of pressings.As an FPGA test , this project includes displaying the last 2 keys pressed on 7-Segment Display Units, it displays the numbers of pressings on some other LEDs.StatusTill Now, it outputs the Hexa Scan Code on the 7-Segment Display Units on an FPGA Kit for test and debugging purposes.
ps/2 keyboard interface
|
code | Dec 3, 2010 | VHDL | Stable | LGPL |
other escriptionThe PS/2 interface project (ps2_interface) is interface hardware to allow using a ps2 mouse or keyboard in your project. The code is written in Verilog, and was sythesized into a Xilinx SpartanII XC2S200 chip. Debugging was done with an HP16500 series logic analyzer, and there is no testbench for these interfaces.For the keyboard interface, there is translation from scan codes into ASCII characters, for those scan codes that have ASCII equivalents. Also, the keyboard interface traps the left/right shift scan codes, and produces uppercase ascii when appropriate. This means that the ke
ps2 interface
|
code | Mar 10, 2013 | Unknow | Stable | Unknown |
other pecification doneWishBone Compliant: YesLicense: LGPLDescriptionPulse Width ModulatorFeatures€ Work as one PWM or one timer.€ 16 bits main counter.€ PWM/Timer can choose between Wishbone interface clock or external clock as working clock.€ PWM can choose between dedicated duty cycle input or internal register as source of duty cycle.€ Duty cycle and period can be changed at runtime.€ Hosted through Wishbone slave interface.€ Working clock's frequency can be divided to at most 1/65535 of original frequency.€ Period register also serves as timer target reg
pwm
|
code | Oct 13, 2012 | Verilog | Alpha | LGPL |
other DescriptionPWM/Timer/Counter (PTC) IP core is a user-programmable PWM, Timer and Counter controller. Its use is to implement functions like Pulse Width Modulation (PWM), timer and counter facilities.FeaturesThe following lists the main features of PTC IP core:- 32-bit counter/timer facility- single-run or continues run of PTC counter Programmable PWM mode- System clock and external clock sources for timer functionality- HI/LO Reference and Capture registers- Three-state control for PWM output driver- PWM/Timer/Counter functionalities can cause an interrupt to the CPU-
pwm/timer/counter ptc core
|
code | Nov 17, 2006 | Unknow | Stable | Unknown |
other Compliant: YesLicense: LGPLDescriptionVHDL Implementation of a quadrature decoder module with a Wishbone bus interface. This module has the following features:UPDATED per version v1.0.0 Release (July 2010)-- July, 2010-- 1)Release version v1.0.0-- 2)Changes from prior release:-- a) Bit 3 of the Quadrature Control Register (offset 0x00) is now changed-- functions, to enable / disable of the Index Zero Count function. When-- the bit is 0, an index event does not affect the count. When the bit is-- 1, and index events are permitted, the internal quadrature cou
quadrature decoder for optical encoders
|
code | Jul 12, 2010 | VHDL | Beta | LGPL |
other n done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionPoisson process generator. The time between each pair of consecutive pulses has an exponential distribution with desired rate. h auxiliary pseudo-random uniform generator is based on 32-bit LFSR. The deign is tested on MICROSEMI IGLOO2 FPGA.Histogram of the number of clocks between output pulses:Result of simulation for the rate of one pulse per 16 clocks (parameter LN2_PERIOD=16):
random pulse generator
|
code | Apr 22, 2015 | Verilog | Stable | LGPL |
other Compliant: NoLicense: GPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
round robin arbiter
|
code | Mar 26, 2010 | Verilog | Stable | GPL |
other liant: NoLicense: LGPLDescriptionHere i am trying to demonstrate my idea, that Russell's paradox of set theory can be solved by computer simulation in discrete time. It leads to oscillating sets, meaning theese sets automatically become existent and then disappear over time by their definition. Furthermore i try to describe it in such an event driven way, where theoretically the time between the events can be infinitely short...
russells paradox
|
code | Jan 21, 2015 | VHDL | Planning | LGPL |
other ,FPGA provenWishBone Compliant: NoLicense: BSDDescriptionA scalable synchronous round-robin arbiter. The arbiter is designed to run at reasonable clock speeds with up to hundreds of request lines, and it grants in just a few clock cycles. The arbiter's interface has individual request and grant lines for each requesting device, as well as a binary encoded grant that can be used to control a bus multiplexer.The basic structure is a tree of small arbiters connected to form a larger arbiter. The tree structure yields linear size scaling and logarithmic delay scaling with respect to the number of
scalable arbiter
|
code | Jan 8, 2010 | Verilog | Stable | BSD |
other NoLicense:DescriptionConfigure Altera and Xilinx FPGAs using a small low cost micro-controller and commodity SD/MMC/SPI flash memory.There are already solutions available that use a CPLD and SD/MMC/SPI flash memory, but this scheme uses a very small C8051 micro-controller in place of the CPLD, and this has several advantages.1. Smaller. C8051 is available in a 4mm x 4mm package.2. Very few additional components. eg C8051 has internal oscillator.3. Less programming headers. Only one small header required.4. A local microprocessor can be connected to the C8051 UART port, and program the flash m
sd/mmc/spiflash fpga config
|
code | Dec 20, 2009 | Other | Stable | Unknown |
other en,Specification doneWishBone Compliant: YesLicense: GPLDescriptionThis core is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.16-bit 48kHz stereo onlyFull duplex supportDMA support (Wishbone master)Codec register access support.Ultra small size.More informationCore documentationCSR bus specifications
simple ac97 controller
|
code | Aug 7, 2010 | Verilog | Stable | GPL |
other one,FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThe design of the All Digital FM Receiver circuit in this project uses Phase Locked Loop (PLL) as the main core. The task of the PLL is to maintain coherence between the input (modulated) signal frequency, and the respective output frequency, via phase comparison. This self-correcting ability of the system also allows the PLL to track the frequency changes of the input signal once it is locked.In the new architecture we propose improvement of the new architecture of digital FM demodulator. This work enhances signal quality, system clo
simple all digital fm receiver
|
code | Jan 7, 2012 | VHDL | Alpha | GPL |
other ishBone Compliant: NoLicense: BSDSimple FM ReceiverSimple implementation of FM Receiver to demodulate square wave signal modulatedin FM. This design uses PLL to demodulate FM modulated signal.Features- Synthesizable- This design can be synthesize using Xilinx 6.3i- This design can be simulated and synthesized usinghttp://asim.lip6.fr/recherche/alliance/(Alliance 5.0)- Simple- Use it to understand PLL to see how FM Receiver works.- Good for introduction in design process.- Modular design, can be use for other design.
simple fm receiver
|
code | Mar 19, 2010 | VHDL | Stable | BSD |
other provenWishBone Compliant: YesLicense:DescriptionSimple General Purpose IO port. It supports up to 8 GPIO pins. Each pin is individually programmable as either input or output. The core features an 8bit wishbone interface. Wider wishbone interfaces are easily supported by using multiple instances (e.g. 4 simple GPIO cores provide a 32bit wishbone interface).Very simple, very small.Features- Up to 8 GPIO pins per core- Each GPIO pin individually programmable as either input or output- Static synchronous design- Fully synthesisable- 11 LUTs in a Spartan-II, 43 LCELLs in an ACEXStatusDesign is fi
simple general purpose io
|
code | Sep 7, 2009 | Verilog | Stable | Unknown |
other t: NoLicense: LGPLDescriptionThis simple HD44780 LCD Driver takes care of the most basic commands such as clear screen, cursor home and writing characters with single bit inputs. It uses the 8-bit data tranfer mode, 4-bit is not supported. The user can supply a number of timing parameters to taylor the timing between the driver and the LCD. Both Busy Flag reading and non-BF reading are supported.
simple hd44780 driver
|
code | Oct 26, 2012 | VHDL | Alpha | LGPL |
other ne Compliant: YesLicense:DescriptionSimple programmable interrupt controller. It supports up to 8 interrupt sources. Polarity and sensitivity (either edge or level) is programmable per interrupt source. The core features an 8bit wishbone interface. Wider wishbone interfaces are easily supported by using multiple instances.Very simple, very small.Features- Up to 8 interrupt sources- Sensitivity (edge/level) programmable per interrupt source- Polarity programmable per source- Static synchronous design- Fully synthesisable- 48 LUTs in a Spartan-II, 83 LCELLs in an ACEXStatusDesign is finished and
simple programmable interrupt controller
|
code | Mar 4, 2008 | Verilog | Stable | Unknown |
other ant: NoLicense: LGPLDescriptionThe goal of this project is to provide a simple traffic light controller for different transport modeling purposes like model railways.I repeat "modeling purposes", don't even think about using it in real-world applications :)Features- Very simple, stand-alone Traffic Light Controller- Through generics parameterizable light timing lengths- Testbench written in VHDL.- Makefile for synthesis with XST (Xilinx) and simulation with Modelsim (Mentor Graphics).StatusThe main phase of the project is already finished, but a lot of additional features still need to be adde
simple traffic light controller for modelmaking pu
|
code | Jun 18, 2008 | VHDL | Stable | LGPL |
other esLicense:DescriptionDebug Interface is used for development purposes (debugging). It is an interface between the CPU(s), peripheral cores and any commercial debugger/emulator. The external debugger or BS tester connects to the core via JTAG port that is fully IEEE 1149.1 compatible. For that reasonjtag TAPneeds to be used together with this core.Status- New version tested with a test bench and in real HW. (April 8, 2004)- Old debug (development) was separated into two different projects. Debug interface is rewritten, documentation updated. Use rel_22 tag for downloading. [January 27, 2004]- A
soc debug interface
|
code | Aug 5, 2011 | Unknow | Stable | Unknown |
other venWishBone Compliant: NoLicense: LGPLDescriptionA simple module to get the most of your on board heartbeat LED. Change or add more sequences easily in parameters file.
status led
|
code | Sep 14, 2010 | Verilog | Stable | LGPL |
other : NoLicense: GPLPLEASE NOTEThis work is managed via git:https://github.com/feddischson/soc_makerand synchronized to this SVN repository.!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!DUE TO A OPENCORES SVN SERVER BUG, THE SYNCHRONIZATION FAILS FOR REVISION 11 (AND EVERYTHING LATER).THIS MEANS, THAT THE CURRENT SVN REPOSITORY IS NOT UP TO DATE.PLEASE USEhttps://github.com/feddischson/soc_makerUNTIL THIS ISSUE IS FIXED AND TRACK THE ISSUE HERE:http://opencores.org/forum,Other,0,5524!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!DescriptionThe System-on-Chip (SoC) Maker is a tool to design and create SoCs in a simple
system-on-chip maker
|
code | Sep 11, 2014 | Other | Planning | GPL |
other NoLicense:Features- Full source code- PDF documentation- Written using lex and yacc toolsDescriptionThe sc2v translator is a software tool that translates a SystemC RT description into a Verilog equivalent one.The sc2v translator is based on lex and yacc tools.You need lex and yacc installed in order to compile sc2v.This work is given by Universidad Rey Juan Carlos (Spain)www.gdhwsw.urjc.esStatus- Version 0.5- TODO: See README File- LOOKING FOR CONTRIBUTORS
systemc to verilog synthesizable subset translator
|
code | Apr 9, 2010 | Unknow | Stable | Unknown |
other one Compliant: NoLicense: LGPLDescriptionA SystemC/Verilog random number generator based on the combination of a LFSR and a CASR with very good statisticall properties.Based on the Thomas E. Tkacik work available at:http://ece.gmu.edu/crypto/ches02/talks_files/Tkacik.pdfThis work is given by Universidad Rey Juan Carlos (Spain)www.gdhwsw.urjc.esFeatures- Very good statisticall properties- SynthesizableStatus- Done
systemc/verilog random number generator
|
code | Apr 9, 2010 | Verilog | Stable | LGPL |
other ompliant: YesLicense: LGPLDescriptionTechnica Corporation is sponsoring a new open source project. Wizardry, an open source network intrusion detection system, provides protocol analysis as well as deep packet inspection. Target for the Virtex 4 FPGA platform, this project includes several hardware components that enable basic network intrusion detection functionality:€ The Embedded Protocol Analyzing Classifier (EmPAC) is designed to perform the task of packet classification through protocol analysis. Its goal is to take an unclassified byte stream coming from the Ethernet Physical La
the wizardry project
|
code | Jan 21, 2010 | VHDL | Mature | LGPL |
other ecification doneWishBone Compliant: NoLicense: LGPLAbout the TosNet projectTosNet is developed at theUniversity of Southern Denmark, and is intended to:Reduce the development time of experimental robotic controllers to arrive faster and cheaper at fully working demonstrations of new technology and concepts.Increase the reusability of experimental systems and components, thus increasing the life-span and utilization of these, and reducing the amount of redundant work.Ease the use of interacting with experimental low-level controller, to open experimental robotics up to a wider audience, and to
tosnet framework
|
code | Sep 7, 2010 | VHDL | Beta | LGPL |
other oLicense: OthersInfoI had finished simple parser and I am working for Code Generate.
turbo/toy system verilog compiler
|
code | Sep 9, 2011 | Bluespe | Planning | Others |
other NoLicense: LGPLDescriptionHi, Everyone, You can find detailed information about project inthis pdf.The pdf explains most of the things about the project like:1. Port list for unconfuser.2. Address for each pad.3. Polynomials used for each pad.4. Steps to be followed to code state machine.5. Diagrams briefly explaining confuser and unconfuser working.Hope this much information would be sufficient to grasp everything about project.The project need to be optimized for number of gates, Have 12k count for now need to make it to around 8k. Hope it will be done in 2 weeks :)
unconfuser
|
code | Jun 23, 2009 | Verilog | Beta | LGPL |
other ant: NoLicense:Status- Found the old project files and documentation and uploaded to opencores- PCB with XC9536XL 90% done, may go into new PCB rev- Several configurations have been tested with an prototypeDescriptionThe goal was to create a set of HDL designs that can convert a small PLD to emulate any JTAG/ISP/Download cable. In most cases those designs are pretty trivial.All (almost all) current testing is now done using Chameleon dongle fromhttp://www.amontec.comFeatures- Emulated Cables- ByteBlasterMB/II- Xilinx Parallel Cable III- Atmel ATDH2081- Atmel STK200- JTAG Wiggler- Cypress Ultra
universal programming cable
|
code | Dec 3, 2004 | Verilog | Unknow | Unknown |
other pecification doneWishBone Compliant: NoLicense: LGPLAbout the uTosNet projectuTosNet (pronounced microTosNet) is developed at the University of Southern Denmark ( http://www.sdu.dk ), and is intended to:Reduce the development time of experimental robotic controllers to arrive faster and cheaper at fully working demonstrations of new technology and concepts.Increase the reusability of experimental systems and components, thus increasing the life-span and utilization of these, and reducing the amount of redundant work.Ease the use of interacting with experimental low-level controller, to open ex
utosnet framework
|
code | Aug 26, 2011 | VHDL | Beta | LGPL |
other ne Compliant: NoLicense: LGPLOverviewVeristruct is an an IEEE1364.1995 preprocessor that adds some C-style struct support to the Verilog language. It takes as input Veristruct files (with a .vs extension) and struct definition files (with a .struct extension). It outputs standard Verilog files (with a .v extension). Veristruct files are, for the most par t, standard Verilog filesbut, as well as the normal nets and regs, bundled, hierarchical variables can be declared and used.Veristruct can process one veristruct file per invocation (which may include many .struct struct definition files).Veri
veristruct
|
code | Aug 24, 2009 | Verilog | Mature | LGPL |
other iant: NoLicense: GPLDescription of projectThis project emulates a CPU for an FPGA under simulation with the use of text files. It can be used to test an FPGA - CPU interface using realistic real-world stimuli. One main text file per CPU emulation instance is used for global CPU commands, and thread spawning. Each spawned thread is tied to an additional text file to use as its 'source code'.Functionality:Features:Configuration of clock, and reset, and read latency.Wait for time periodWait for signal value (good for interrupts)Declare local and global variables (bit, vector8, or string)Nested wh
vhdl file-based cpu emulator
|
code | Sep 7, 2009 | VHDL | Alpha | GPL |
other nal info:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionAn Virtual RS232 Terminal developed with Avnet Xilinx Spartan 3A Evaluation Kit ( Spartan XC3S400A ) that has a LVDS LCD Controller (Notebook LCD used for development, 3 LVDS Pairs interface) and a PS2 Keyboard Receiver.The Core receives the ScanCodes on PS2 Keyboard, and sends ascii through serial port. Also, it received the ASCII Chars on Serial port and write on a CharRam Buffer (80x60 chars) that displays on the LCD Screen.Video from project:http://www.youtube.com/watch?v=fX3_T2NMSnMMore info at:http://www.energylabs.com.br(
virtual rs232 terminal with lvds lcd controller
|
code | Mar 3, 2011 | Verilog | Mature | LGPL |
other se:DescriptionWB Interface for TI 5x DSP (HPI) developed for use with Opencores PCI Bridge.Features1. Direct access to DSP Control Registers2. Block transfer from DSP address space to WB address space3. Block transfer from WB address space to DSP address space4. Interrupt support (both are maskable)- interrupt after block transfer; and- interrupt from DSP.5. Maped DSP address space to WB address space.Status- Core is finished, testing some parts at the moment- Writing documentation- Test bench for PCI card, with hex editor for DSP memory, was written (Win Platform). It will be available with
wb interface for ti 5x dsp wb2hpi
|
code | Feb 20, 2004 | Unknow | Stable | Unknown |
other nse:DescriptionReal Time Clock IP core with wishbone bus complaint. The RTC can transmit data to CPU as Binary Coded Decimal (BCD) values through wishbone bus. The data include the time by second, minute, hour, date, day, month, and year. It is 24-hour format. The RTC module can work with an external crystal that the frequency is not very fixed, such as 32.768kHz and so on. It also can generate two flexible interrupt requests: alarm and repetitive mode.IMAGE: structure.jpgFILE: structure.jpgDESCRIPTION: Structure of wb_rtc coreIMAGE: ports.jpgFILE: ports.jpgDESCRIPTION: Ports of wb_rtc coreFe
wb_rtc
|
code | Sep 20, 2003 | Unknow | Planning | Unknown |
other ne Compliant: YesLicense: LGPLDescriptionA AHB master to WishBone slave bridge along with a basic testbench is included. Burst in not yet supported.
wb_to_amba
|
code | Aug 30, 2010 | Verilog | Stable | LGPL |
other nse: LGPLDescriptionHave you ever wanted to add some color to your project? Then this might be your answer. The WS2812 RGB LED "pixels" and WS2811 driver ICs are available for an encouragingly low cost from various sites on the internet, and they come in strips which can be cut or joined to the desired length. Since the Red/Green/Blue (RGB) LEDs inside the WS2812 part are driven by the serial driver IC which is also inside the part, only three wires are needed, and your project can set each LED color independently of the others.The connections to the LED strip include 3 wires: +VCC, GND, and d
ws2812 rgb led string driver
|
code | Nov 1, 2013 | VHDL | Beta | LGPL |
other roven,Specification doneWishBone Compliant: YesLicense: GPLDescriptionAs the title says, this core provides access to the Xilinx Internal Configuration Access Port, Edition 2, via a 32-bit wishbone bus. The ICAPE2 contains address space for 32 registers, and this port provides access to all of them. Specific ports/registers that have been tested and proven include the warm boot start address (WBSTAR) and the command (CMD) register. Using these, together with the Quad SPI Flash core, I can reconfigure my Basys-3 development board from my bedroom nightstand without needing to come into the of
xilinx configuration port icape2 via wishbone
|
code | May 26, 2015 | Verilog | Alpha | GPL |
other ompliant: NoLicense: LGPLBenefits of data compressionThe use of lossless data compression can bring about a number of increasingly important benefits to an electronic system. The term lossless means that the original data can be exactly recreated after a decompression operation, and should not be confused with audio and video compression systems (such as JPEG and MPEG) which are lossy and hence only recreate an approximation of the original data.The most obvious benefit of data compression is a reduction in the volume of data which must be stored. This is important where the storage media itse
xmatchpro lossless data compressor
|
code | Sep 15, 2014 | VHDL | Stable | LGPL |
other esLicense: LGPLDescriptionAn implementation of theVector Graphic, Inc. Computer Systemof the early 1980's on aXilinx Spartan 3E Starter Kit. TheVector ZCB and FlashWriter IIvideo card are implemented. The system also includes a memory management unit, serial ports, and keyboard interface. This design is based on several cores fromOPENCORES.ORG.You can see some pictures of it runningon my blog.Features- Vector ZCB CPU Card - Uses OpenCoresWishbone High-Performance Z80 CPU Core- Can optionally use the OpenCoresTV80 CPU Coreif the wb_tv80 Wishbone wrapper is used. - Vector Graphic MON4.3
z80-based vector graphic single-board computer
|
code | Dec 29, 2008 | VHDL | Alpha | LGPL |
PROCESSOR | |||||
processor pliant: YesLicense: LGPLFeatures800 Xilinx slices for CPU1000 Xililinx slices for complete SoCOptimized for exeution of C programsVHDL, Assembler, C Compiler, Simulator6 kByte RTOSStatusDone.To browse the SVN sources, or to fetch a tarball of the sources, click one of links above underDetailsUsersRiccardo Cerulli-Irelli:http://ubceru.ifsi-roma.inaf.it/~cerulli/projects/c16/
16 bit microcontroller
|
code | Jun 20, 2015 | VHDL | Stable | LGPL |
processor NoLicense: LGPLDescriptionA 16-bit classical CPU based loosely on Caxton Foster's Blue CPU from the book "Computer Architecture". Includes a cross assembler and a very novel front panel for the Digilent Spartan 3 board.Main DocumentationThe project has a wiki atHotsolder.Video
16-bit cpu based loosely on caxton fosters blue ar
|
code | Feb 6, 2010 | Verilog | Stable | LGPL |
processor oLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
16-bit open urisc core processor
|
code | Jul 26, 2013 | VHDL | Alpha | LGPL |
processor oLicense: OthersDescriptionOverview16,32,64 bit microprocessor - simulator source configurable.16 bit fixed instruction length. All instructions conditional.up-to 128 instructions. 64 registers.Run-time instruction configuration / code obfuscation.Simulator software includes macro assembler, console debugger and interpreter using host system calls.Public domain.
1664 microprocessor
|
code | Mar 26, 2010 | C/C++ | Stable | Others |
processor NoLicense: OthersDescriptionThe Intel 4004 was the first commercially-available single-chip CPU. Developed by Intel in 1969 for the Busicom company for use in the Busicom 141-PF calculator, and made commercially available for other uses in November 1971, the 4004 CPU and the other MCS-4 family chips were used in embedded applications into the mid-1980s.This project is a translation of the pMOS, dynamic-logic MCS-4 chip set design into static-logic, functional Verilog that can be synthesized for most any FPGA. The implementation intentionally uses the net naming convention found in the 400x si
4004 cpu and mcs-4 family chips
|
code | Nov 13, 2012 | Verilog | Alpha | Others |
processor NoLicense:DescriptionFeatures- feature1- feature2Status- ...- ...
6502vhdl
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
processor FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionA verilog, vendor independent, no cycle accurate MC6809/HD6309 compatible processor core.Goals:- Execute all implemented opcodes- Allow asnychronous memories- >40 MHz clockStatus- Most instructions need less clocks than the original.- Synthesizes in Lattice Diamond for the MachXO2 requiring ~1260 Slices (two-cycle multiplier) @ >40 MHz, tested, works.- Synthesizes in XST for Spartan 2 using a bit less than 1200 Slices (fits in a XC2S100). Testing pending.- Synthesizes in XST for Spartan 3 using ~1150 Slices, hw-multiplier. Testing
6809 and 6309 compatible core
|
code | Jul 31, 2014 | Verilog | Beta | LGPL |
processor Compliant: NoLicense:MC68HC05A MC68HC05 clone in VHDL as single file. Twice performance as the original. Multiplication is done in one clock cycle.2007.02.11 first versiontested with C compiler works OK
68hc05
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
processor Compliant: NoLicense:MC68HC08 cloneA MC68HC08 clone in VHDL as single file. Twice performance as the original. Multiplication is done in one clock cycle. Division in two clock cycles.Features- feature1- feature1.1-feature1.2-feature22007.02.08 first versiontested with C compiler works OK with interrupts2009.07.16 new version, bugfix at opcode 7E mov ,X+,opr8a X post increment fixed
68hc08
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
processor icense: LGPLDescriptionThe goal of this project is to create a very well documented, fully synthesizable VHDL model of an 8-bit microcontroller with extended peripheral set. The model should be highly configurable, making it possible to exclude unused peripheral units. These features make it a very good choice for SoC (System-on-a-chip) designs and for purely educational purposes.An assembler and a testbench describing the behavior of both program and data memory are provided.http://www-user.tu-chemnitz.de/~dimo/opencores/cpu8.gifFeatures- assembler- testbench describing the behavior of progra
8-bit microcontroller with extended peripheral set
|
code | Aug 10, 2008 | VHDL | Alpha | LGPL |
processor nse:DescriptionThe 8051 microcontroller is member of MCS-51 family, originally designed in the 1980's by Intel. The 8051 has gained great popularity since its introduction and is estimated it is used in a large percentage of all embedded system products. The basic form of 8051 core includes several on-chip peripherals, like timers and counters, additionally there are 128 bytes of on-chip data memory and up to 4K bytes of on-chip program memory.Features- 8-bit CPU optimized for control applications- Exstensive Boolean processing (single-bit logic) capabilities- 64K Program Memory address space-
8051 core
|
code | Sep 18, 2013 | Unknow | Alpha | Unknown |
processor one Compliant: NoLicense:DescriptionThis is an 8080 core I created as a project to get to know Verilog.The 8080 was the second in the series 8008->8080->Z80. It was the secondcommercially available single chip CPU (disregarding the requiredclock and demultiplexor chips), after the 4 bit 4004. Besides being an interestingproject, it also can serve as a very compact core, suitable for a supervisor role on anFPGA with other blocks. It has extensive support, all freely available, includingassemblers, compilers, an operating system (CP/M).Although the Z80 is a more popular core due to being a
8080 compatible cpu
|
code | Mar 6, 2012 | Verilog | Stable | Unknown |
processor roven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionA-Z80is a conceptual implementation of the venerable Zilog Z80 processor targeted to synthesize and run on a modern FPGA device. It differs from the existing (mostly Verilog) Z80 implementations in that it is designed from the ground-up through the schematics and low-level gates. It is a result of a research and tedious reverse-engineering of Z80 at all levels, including micro-photographs of a die.Project includes a fully working implementation based on this CPU.It has been described in more details atBaltazarStudios.Fe
a-z80 cpu
|
code | Dec 24, 2014 | Verilog | Stable | LGPL |
processor ompliant: YesLicense: LGPLDescriptionThe AE18 is a clean room implementation of the Microchip PIC18 series CPU core using information from the PIC18C documentation from their website. It is cycle and instruction compatible to the PIC18 for most software commands.This is just a CPU core that is capable of moving and manipulating data to and from memory. It does not have any peripherals nor interrupt controllers although support for both high and low level interrupts are provided. Any peripherals and their respective registers should be mapped to the data memory space. It has a separate ins
ae18
|
code | Dec 20, 2009 | Verilog | Beta | LGPL |
processor enWishBone Compliant: YesLicense: LGPLFeatures- Non-architecture compatible with MB.- Harvard architecture with separate instruction and data bus.- Provides GET/PUT implementation on a FSL bus.- Uses WISHBONE instead of LMB/OPB bus protocol for I/O.- Fully pipelined for single cycle execution of all instructions.- Single cycle barrel shifter and multiplier.- Instruction compatible except for optional instructions not used in GCC.- Missing: WIC,WDC,IDIV,IDIVU- Optional parameterised multiplier and barrel shifter.- Software division- Software floating-pointStatus- Tested in software simulation:-
aemb
|
code | Dec 20, 2009 | Verilog | Beta | LGPL |
processor rovenWishBone Compliant: NoLicense: GPLDescriptionThe main features of ag_6502 implementation:* It provides not only clock-level compatibility, but phase-level compatibility too. Thus it may be possible to connect simulated 6502 instead of the original one. Source code includes two possibilities to simulate two-phase clocking: by the use of external phi1 and phi2 clock generators and by the simulation of the phase shift using higher frequency source (I used standard 50 MHz clock generator to simulate phases phi1 and phi2 in my test project).* It requires a relatively small amount of FPGA logic
ag_6502 soft core with phase-level accuracy
|
code | Jul 31, 2012 | Verilog | Beta | GPL |
processor provenWishBone Compliant: YesLicense: LGPLDescriptionAltOR32 is an OpenRISC 1000 architecture derived RISC CPU targeted at small FPGAs and contains only the most basic ISA features from the OpenRisc project.Instructions & registers relating to Vector, floating-point, 64-bit extensions, MMU & Cache have been omitted.The aim of AltOR32 is to provide a simple 32-bit soft CPU architecture aimed at control applications that can fit in low-end FPGA technology.This design implements all instructions that cannot be disabled. Anything else is viewed as beyond the scope of this cut-down soft-CP
altor32-alternative lightweight openrisc cpu
|
code | Feb 15, 2015 | Verilog | Stable | LGPL |
processor tion doneWishBone Compliant: YesLicense: LGPLDescriptionAlwcpu is a light weight CPU in terms of logic resources.- 16 bit address and data bus. (Instructions are 16 bit as well)- Wishbone interface- Is parameterizable to optimize size, e.g. skipping of instruction groups, selectable 8 or 16 registers...- Core size is about 52-55 FF and 335-478 LUT's (depending on configuration) in a Spartan 3-400 when compiled for Area.- The core has 4 special registers and 4(*4) general purpose registers in minimum configuration- If more registers needed another 8(*2) registers could be enabled through config
alwcpu-a light weight cpu
|
code | Oct 26, 2010 | VHDL | Alpha | LGPL |
processor roven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe Amber processor core is an ARM-compatible 32-bit RISC processor. The Amber coreis fully compatible with the ARM v2a instruction set architecture (ISA) and istherefore supported by the GNU toolset. The Amber project provides a complete embeddedsystem incorporating the Amber core and a number of peripherals, including a UART, atimer and an Ethernet MAC.There are two versions of the core provided in the Amber project. The Amber 23 hasa 3-stage pipeline, a unified instruction & data cache, a 32-bit Wishboneinterface, an
amber arm-compatible core
|
code | Apr 29, 2015 | Verilog | Stable | LGPL |
processor Compliant: NoLicense: BSDDescriptionThe ao486 is an x86 compatible Verilog core implementing all features of a 486 SX.The core was modeled and tested based on the Bochs software x86 implementation.Together with the 486 core, the ao486 project also contains a SoC capable ofbooting the Linux kernel version 3.13 and Microsoft Windows 95.Current status31 March 2014 - initial version 1.0.19 August 2014 - driver_sd update, ps2 fix.Linksao486 project on github.com:http://github.com/alfikpl/ao486.FeaturesThe ao486 processor model has the following features:pipeline architecture with 4 main stages: dec
ao486
|
code | Aug 19, 2014 | Verilog | Beta | BSD |
processor : YesLicense: BSDDescriptionThe OpenCores ao68000 IP Core is a Motorola MC68000 binary compatible processor.IntroductionJuly 2011: Project copied to (https://github.com/alfikpl/ao68000). Further development of ao68000 will continue on github.FeaturesCISC processor with microcode,WISHBONE revision B.3 compatible MASTER interface,Not cycle exact with the MC68000, some instructions take more cycles to complete, some less,Uses about 4750 LE on Altera Cyclone II and about 45600 bits of RAM for microcode,Tested against the WinUAE M68000 software emulator. Every 16-bit instruction was tested with ran
ao68000-wishbone 68000 core
|
code | Oct 23, 2012 | Verilog | Beta | BSD |
processor : NoLicense: BSDDescriptionThe aoR3000 is a MIPS R3000A compatible core capable of booting the Linux kernel version 3.16 in about 3 seconds and with a rating of 48.74 BogoMIPS. It features a compatible MMU, but no FPU.Current status11 August 2014 - initial version 1.0.LinksaoR3000 project on github.com:http://github.com/alfikpl/aoR3000.FeaturesThe aoR3000 soft processor core has the following features:5 stage pipeline (single-issue, in-order, forwarding, hazard detection);implements all MIPS I instructions (this includes the privileged coprocessor 0 instructions);the MMU in coprocessor 0 is co
aor3000
|
code | Aug 12, 2014 | Verilog | Beta | BSD |
processor ompliant: NoLicense: GPLDescriptionA Xilinx Spartan-3E FPGA implementation of the Block II Apollo Guidance Computer (AGC) in VHDL.Has anyone found this project useful? Any chance of some feedback so I can improve the project?
apollo guidance computer nor emulator
|
code | Jul 25, 2012 | VHDL | Beta | GPL |
processor enWishBone Compliant: NoLicense: GPLDescriptionThis processor, done as an university project, is a functional clone of the ARM processor, is almost entierely compatible with the ARMv3 instruction set and can be targetted by the GCC toolchain if the proper options are used during the compilation process.The processor uses a classical 5-stages RISC pipeline and an instruction cache. It was made to connect to the Altera Avalon bus, as a Q-Sys compatible component. It should however be simple to retarget it for other similar buses.We made it run at 50 MHz on a Cyclone IV FPGA.Full VHDL sources, sc
arm4u
|
code | May 2, 2014 | VHDL | Stable | GPL |
processor icense:DescriptionThe ASPIDA project has implemented an asynchronous IP of the DLX Instruction Set Architecture (ISA) with incorporated support for ISA conversion so it can be easily converted to any RISC ISA. The DLX architecture, is well-supported by existing software development tools (compiler, assembler, loader, instruction set simulator and debugger).The synchronous single-pipeline architecture, which is standard for the basic synchronous DLX implementations, is identical to the architecture of the asynchronous version. A suitable Open IP interface (WISHBONE) is embedded onto the process
aspida sync/async dlx core
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
processor ication doneWishBone Compliant: YesLicense: GPLDescriptionWelcome to theAtlas Processor Coreproject!The Atlas 2k Processor is intended to be a small 16-bit RISC general purpose processor for all kind of applications, that require minimal hardware resources while providing a maximum functionality and processing power. The instruction set was inspired by famous architectures like the ARM and AVR ISAs and many of you, who worked with these architectures, will see the resemblance. However, the CPU features a lot of additional nice features and functionalites, which - at least from my point of view
atlas processor core
|
code | Dec 20, 2014 | VHDL | Beta | GPL |
processor License:DescriptionMicrocontroller core compatible with one used in AT mega 103 and written in VHDL. It has the same instruction timing and the same instruction set (with a few exceptions).Features Core features: 32 x 8 general purpose registers Twenty three interrupt vectors Supports up to 128 Kb of program and up to 64 Kb of data memory Peripheral features: Programmable UART Two 8-bit Timer/Counters with separate prescalers and PWM Eight external interrupt sources Two parallel portsStatusThe core was tested with several ASM and C programs.It was implemented in Altera EPF10K50ETC144-3 device
avr core
|
code | Nov 22, 2014 | Unknow | Stable | Unknown |
processor Compliant: NoLicense: LGPLDescriptionThe project is based on OpenCores' AVR project by Ruslan Lepetenok.The core is now hyper pipelined. It is a technique to multiply the functionalityof a design by adding registers (called pipeline stage registers) to the core logicin order to multiply its functionality. If you are interested in the technology, go to www.cloudx.ccThe functional behavior of the AVR remains the same, the hyper pipelined versionis used when multiple, equal AVR cores (2, 3, ...) are instantiated in thedesign (multicores).The main benefit is the multiplication of the core's functi
avr hp hyper pipelined avr core
|
code | Dec 22, 2012 | VHDL | Stable | LGPL |
processor hBone Compliant: NoLicense: LGPLDescriptionThis is a Atmel AVR ATtiny261/461/861 compatible core.It should be (more or less) fully code compliant, but it is not clock-cycle compliant.The reason it was developed was to have a simple core to develop C-code to.The implementation is rather strait forward without any pipelining.One reason was also to see how hard it was to implement a standard mcu in vhdl and make it run on gcc-generated code.The implementation is a bit quick-n-dirty, I spent about 15h coding the core and about 15h to writing test bench and simulate the core.I must also say that I'
avrtinyx61core
|
code | Nov 10, 2008 | VHDL | Beta | LGPL |
processor se:DescriptionMicrocontroller core compatible with 90S1200 and 90S2313.Same instruction timing as in the original MCUs. Both MCUs use the configurable AX8 core. Other MCUs using one of the two instruction sets the core supports can easily be implemented by creating a new top level.There are utilities included that can create VHDL ROMs for simulation and synthesis. The utilites create generic ROMs that can be used for simulation and for synthesis with Leonardo and also Xilinx specific ROMs that can be used for XST synthesis.Batch files for runnning XST and Leonardo synthesis can be
ax8 mcu
|
code | Feb 16, 2010 | Unknow | Stable | Unknown |
processor liant: NoLicense: LGPLDescriptionBrainfuck CPU is a hardware implementation of Brainfuck programing language. It uses simple 2-stage pipelining and Harvard's architecture.This CPU is very similar to Touring machine. It operates over linear memory space. Main difference is that the memory if finite.Instruction set is fairy simple. Opcodes are only 3-bit wide. This allows lower usage of resources over original coding (7 bit ASCII). Verion using original instruction set is available as well.Can't place oposite of > char for some reason, so I'll use "(oposite of >)" instead.OpcodesOpcodes:00
brainfuck cpu
|
code | Sep 7, 2014 | Verilog | Beta | LGPL |
processor cense:DescriptionCores are generated fromConfluence; a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C. SeeConfluent.orgfor more info.Several cores are provided in Verilog, Vhdl, and C. If you don't see the configuration you need, chances are we can easily generate it for you.The State Space Processor is used for implementing discrete linear systems, such as finite and infinite impulse response filters, multiple input and output systems, and general state space equations common in control and DSP applicatio
cf state space processor
|
code | Dec 20, 2009 | Unknow | Stable | Unknown |
processor t: NoLicense: GPLDescriptionIntroductionThe aim of the project is to port and maintainCodezeromicrokernel to the OpenRISC 1000 family.L4 microkernel architectureCodezero is a new L4 microkernel that has been written from scratch, following the latest development and research principles on microkernel design. It is a modern microkernel implementation that provides capabilities for virtualization and implementation of native OS services.Design principlesCodezero and L4 line of microkernels are founded on a few fundamental design principles. The primary principle is that only the most fundamental
codezero openrisc port
|
code | Jun 15, 2010 | C/C++ | Planning | GPL |
processor NoLicense:StatusCPU passes simple tests. Verification is not complete.DescriptionThis is a new implementation of the OpenRisc 1000 architecture in the Confluence language.Features- OpenRisc 1000 32-bit CPU- ORBIS32-I instructions implemented- Exception handling partially implemented- C test harness runs S-record programs- Cache- Not implemented- MMU- not implemented- Other stuff- not implementedNews16 June 04 Upgraded to Confluence 0.9.0
confluence openrisc 1000
|
code | Jun 17, 2004 | Other | Alpha | Unknown |
processor eWishBone Compliant: YesLicense: LGPLDescriptioncopyBlaze is a from-scratch synthesizable & behavioral VHDL clone of Ken Chapman's popular 8bit PicoBlaze embedded microcontroller.It support wishbone interface.Assembler and C Compiler are used in the developpement.STATUSThe developpement is still in progress.* All the PicoBlaze III instructions have been tested.* Actually the wishbone instructions are in test validation* PBCC Compiler is in test.FeaturescopyBalze have the followings features:'''SET INSTRUCTION'''* picoblaze III instruction compatible + specific wishbone instructions* 1k x 1
copyblaze
|
code | May 23, 2013 | VHDL | Mature | LGPL |
processor ompliant: NoLicense:Status- Initial tests and debugging have been performed.- Initial code revision is 80-90% complete- Initial cvs commit (27 Mar 2006)FeaturesLogical and arithmetic operations have been tested and are functional.Pretty much everything works, as far as my test have shown. I've also writen some software that generates a ROM in VHDL from assembly source code. It's available at my website. Plus, there's an assembly simulator!DescriptionThis is an implementation of an instruction set that I created. It's not a particularly useful thing to do, but it's something I've always bee
cowgirl
|
code | May 31, 2007 | VHDL | Beta | Unknown |
processor NoLicense:DescriptionCpugen (TM) generates customizable RISC cpu cores.It allows direct customization of address/data/instruction bus size,interrupt handling, indirect addressing, data/instruction latencytimings and custom instructions definition.It is targeted to low size FPGAs, easy to use and getting started with.GNU VHDL source code provided.Features1) Portability:vendor dependent blocks (ex. memory blocks) are kept separate from vendor independent logic.Customizable built-in assembler with data/instruction memory files generation; output file formats for the following environments:
cpu generator
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
processor one,FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThis is a VHDL/Verilog IP core with True Cycle Timing for Rockwell's 6502 8-Bit CPU. With full functional input signals like ready, interrupt, non maskable interrupt and set overflow flag. Also available is the output signal sync which signals an op fetch. The ready signal is usable for DMA operations or multiprocessing. Signal sync can be used for software/hardware debugging via single stepping (single cycles or complete op codes) the 6502.This core was successfully tested in an APPLE ][+ SoC (completely designed into a FPGA with Z80
cpu6502_tc-r6502 processor soft core with accurate
|
code | Jul 24, 2013 | VHDL | Stable | GPL |
processor ovenWishBone Compliant: NoLicense: GPLDescriptionThe 65C02 by Rockwell is the upgraded version of the legendary Rockwell's R6502. cpu65c02_tc offers you an accurate timing for all new and upgraded op codes of the R65C02. This soft core was generated in VHDL and was designed with Mentor's HDL Designer.It comes also with graphical views formatted in HTML to show and explain very clearly the hierarchy of the whole design.Please feel free to tell me any ideas, errors or some thing else like special functions, test benches or documentation. Use the "Tracker" link to do this.Features- true cycle tim
cpu65c02_tc-r65c02 processor soft core with accura
|
code | Aug 2, 2013 | VHDL | Stable | GPL |
processor pliant: NoLicense:DescriptionThe data flow processor (DFP) is a flexible microprocessor written in VHDL which you can program down to the gate level to optimize your entire design. It is composed of 7 components with a specific data flow architecture. In most cases you just provide a VHDL file containing the program you want the processor to run, compile the whole design and then download it to an FPGA. For more specific designs, the code for the components can be altered to suit your needs. The components are:TR - top register - top-most register for manipulating data or data flowFU - functio
data flow processor
|
code | Dec 20, 2009 | VHDL | Stable | Unknown |
processor Compliant: NoLicense:DescriptionThis Project was developed within a Computer Architecture Course. It demonstrates a simple RISC architecture. Please note that it was developed on a Sparten-3E Starter Kit and memory in VHDL code is embedded via XILINX specific routines.Features- Assembler- Simulator- Simple I/O (Leds, Buttons, UART, Hitachi LCD)- VGA ControllerStatus- presented in class as working
diogenes student risc system
|
code | Dec 20, 2009 | VHDL | Beta | Unknown |
processor WishBone Compliant: NoLicense: LGPLDescriptionsimple alu
distributed limited cores
|
code | Dec 20, 2009 | VHDL | Planning | LGPL |
processor t: NoLicense: GPL
ecpu
|
code | Dec 20, 2009 | Verilog | Planning | GPL |
processor NoLicense: LGPLDescriptionEdge is a microarchitecture implementation for mips1 ISA.It has a 32 bit datapath divided into five pipeline stages operating at 50 MHz frequency.Supporting timer and other interrupt types and exceptions is implemented through co-processor0.Edge has been tested and verified on Atlys that has a Spartan-6 XC6SLX45 FPGA.For the Atlys board, UART driver is provided to communicate with PC at 115200 baud rate.Youtube link for simple C programs running [1]https://www.youtube.com/watch?v=Hxwq2KWzycU
edge processor mips
|
code | Jun 29, 2014 | Verilog | Alpha | LGPL |
processor one Compliant: NoLicense: LGPLDescriptionThis project was aimed at providing people a simple, runnable, and easy-to-enhance MIPS CPU main architecture, along with well commented Verilog RTL source code, complete simulation test benches & scripts, and detailed documentation. People can read the source code, make simulations to verify the result, and then make modifications to enhance it. I hope this project can help you learning the MIPS CPU architecture and enjoy constructing your own CPU core.This CPU design is based on Mr. Hu Weiwu€™s book €?Computer Achitecture€?, T
educational 16-bit mips processor
|
code | Aug 18, 2013 | Verilog | Stable | LGPL |
processor Compliant: NoLicense:DescriptionAn implementable and enhancable RISC Core developed in Verilog HDL, tested on Xilinx IIE Spartan FPGA.Features- feature1- feature1.1-feature1.2-feature2Status-Currently present Verilog Module is implementable, and also enhancements could be made as desired- status2
educational risc processor
|
code | Feb 26, 2014 | Verilog | Stable | Unknown |
processor oLicense: Others
elm embedded processor
|
code | Feb 26, 2010 | Verilog | Alpha | Others |
processor : NoLicense: LGPLDescriptionEncore intends to explain basic microprocessor principles. Starting at a the simplest micro sequencer based level, all the way up to pipelines, caches, mmu, multi-datapaths etc.
encore
|
code | Feb 19, 2011 | VHDL | Planning | LGPL |
processor WishBone Compliant: NoLicense: LGPLDescriptionThe graphics processed by the GPU are defined as a set of vertices that contain spatial information, i.e. vectors with coordinates [x y z] in three-dimensional Cartesian space, and additional information of color or texture coordinates.The processing that is performed has 4 phases:1. A group of vertices is processed as a point list, a line list, a line strip, a triangle list, a triangle strip or a triangle fan.2. The given vertices are transformed three-dimensionally based on a 4x4 transformation matrix, being able to translate them, rotate them, s
gpu
|
code | Feb 10, 2015 | VHDL | Stable | LGPL |
processor ,Specification doneWishBone Compliant: NoLicense: LGPLGator Microprocessor (GuP) Overview- Motorola/Freescale 68xx Architecture- Source-code and machine-code compatible 68HC11 cpu core- Compatible with all HC11 C/C++ compilers including GNU GCC- Up to 100MHz operation in modern FPGAs- 2.5 times faster per clock cycle than a HC11 on averageVisit the official website at:www.mil.ufl.edu/projects/gupStatusVersion 0.9a: ReleasedVersion 1.0: ReleasedVersion 2.0: Coming Soon
hc11 compatible-gator uprocessor
|
code | Jul 5, 2011 | VHDL | Stable | LGPL |
processor hBone Compliant: NoLicense: LGPLDescriptionThis project provides a synthesizable IP core compatible with HITACHI HD63701 processors.
hd63701 compatible core
|
code | Feb 15, 2014 | Verilog | Planning | LGPL |
processor ompliant: NoLicense: GPLHiCoVec - a configurable SIMD CPUThe HiCoVec processor is based on a simple 32 bit scalar unit and connected with a vector unit for SIMD operations.The amount of data simultaneously processed in the vector unit can be configured as well as the amount of vector registers to hold the data. It is also possible to activate/deactivate some functions (like hardware multiply) of the CPU to gain performance or decrease logic required.The processor has its own instruction set. One instruction word is divided into a scalar and a vector part. This makes it possible to execute two
hicovec-a configurable simd cpu
|
code | Feb 25, 2010 | VHDL | Beta | GPL |
processor cation doneWishBone Compliant: NoLicense: OthersDescriptionQuick link to the Excel simulator v06.01:http://www.mediafire.com/download/4vy7d202xu7fdbs/HIVE_SIM_2014-10-08.xlsQuick link to the design document v06.01:http://www.mediafire.com/view/ghtn03wqe4a6k0z/Hive_Design_2014-07-15.pdfQuick link to the SystemVerilog code v06.01:http://www.mediafire.com/download/9iloxic8535cdt7/HIVE_SV_2014-07-13_v06.01.zipv06.01 - 2014-07-13- Major changes in hive_main_mem.sv to support 16 & 32 bit aligned and unaligned access for literals and memory R/W.- Main memory BRAM now a dual entity to provide sepa
hive-a 32 bit 8 thread 4 register/stack hybrid pip
|
code | Oct 10, 2014 | Verilog | Stable | Others |
processor mpliant: NoLicense: LGPLToDo- Isolate bus-controlling logic from Monolithic control-unit fsm- adding support for other SoC buses (atleast AMBA)- 2-pass assembler design (still pending).DescriptionSimple 16-bit microprocessor, 16-general purpose registers. custom instruction set, load-store RISC but current implementation "impl0" non piplined, control unit hardwired, 64K address space, total 16-interrupts (10 maskable), memory mapped i/o. Design wishbone (wb b.3) compatible bus cycles (currently single read/write), soon will add RMW. RTL (VHDL) completed & posted working for Verilog, prelim
hpc-16
|
code | Dec 20, 2009 | VHDL | Beta | LGPL |
processor cense:DescriptionHyperMTA is a multithreaded processor capable of having up to 256 threads. In today's super computing/high end world more and more processors are going to multithreading to get a performance benefit. More and more applications are also becoming multithreaded and for that reason we are designing a super computing/high end computing processor and its chip sets. The system is organized in such a way that each processor will interface to one memory router. Each memory router connects to several other memory routers making it possible to access any of a number of memory banks insta
hypermta
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
processor t: NoLicense: LGPLDescriptionA Verilog RTL implementation of the venerable IBM 650 computer.The goal of this project is to use available source materials to recreate a 650 as accurately as possible.StatusUnder active development. Control is currently being implemented and debugged in simulation.About the IBM 650Announced in 1953, the IBM 650 was a decimal, digit-serial vacuum tube computer. Main memory was a magnetic drum storing 2000 10-digit signed-decimal numbers. Machine logic was implemented using crystal diodes and vacuum tubes. It was arguably the most popular vacuum tube machine, with
i650
|
code | Mar 26, 2015 | Verilog | Planning | LGPL |
processor icense: LGPLWARNINGThis project is in the middle of a major refactor, being conducted ina new GitHub repository. The refactor involves rewriting from scratch the most intractably messy parts of the RTL (the cache and memory controller and parts of the CPU), going to a 4-stage pipeline, implementing Wishbone as the main bus interface, implementing a generic COP2 interface and a few other changes. The aim is to make the core actually useable. In particular, the CPU monitor in the TB is being rewritten from scratch, as the current version is just too unmaintainable. Eventually the
ion-mipstm compatible cpu
|
code | Jun 11, 2015 | VHDL | Mature | LGPL |
processor pliant: YesLicense: GPLStatus- VHDL files and and supporting tools for the design are available from OpenCores CVS.- Actual updates are now in a git repository, seehttp://www.jopwiki.com/Download- Further information can be found athttp://www.jopdesign.com- A wiki is available athttp://www.jopwiki.com/Features- Very small core:- about 2000 LCs - 3000 LCs (configurable)- fmax is 100 MHz in a Cyclone EP1C6- Real-time features:- architecture designed to simplify WCET analysis- cycle accurate time interrupt (not tick based)- real-time enhanced thread model- WISHBONE masterDescriptionJOP is the imp
jop a java optimized processor
|
code | Sep 5, 2011 | VHDL | Stable | GPL |
processor oLicense:DescriptionThe k68 is a 68k binary compatible CRISC processor. It supports all twelve (12) addressing modes and most of the instructions for a 68000. It has 32-bit external address and data busses. It has eight (8) data and eight (8) address registers where the last address register also acts as a Stack Pointer. It has only one mode of operation and makes no distinction between user mode and supervisor mode.Features- Supports all twelve 68000 addressing modes.- Binary compatible with the standard 68000.- Capable of executing most 68000 instructions.- 32-bit external busses.- 8 Data a
k68
|
code | Dec 20, 2009 | Verilog | Alpha | Unknown |
processor nt: NoLicense: LGPLDescriptionKLC32 is a 32 bit non-pipelined processor. This project is in the first stage of it's evolution and has a long ways to go yet, hence descriptions are a bit lacking. Read the code. First coding was Oct 4, 2011.Programming ModelThere a 32 x 32 bit registers with register R0 always reads as zero.There are two processor modes, user and system, each with it's own stack pointer. Some instructions are restricted to system mode only.There is a group of eight condition code registers cr0 to cr7, each of which contains four status flags: carry,overflow,negative, and zero. T
klc32
|
code | Oct 6, 2011 | Verilog | Planning | LGPL |
processor ne Compliant: NoLicense: LGPLOverviewSummaryThis document describes my implementation of a 6502 microprocessor into a Lattice LCMXO2280C FPGA. The hardware is based on an existing PCB leftover from a controller for a soft X-ray generator. It is anticipated that the design can be ported to other FPGA devices. It is written in VHDL and used GNU ghdl for initial development and Lattice ispLeaver to implement the design into the FPGA. The GNU software was found to be significantly faster than Lattice. Files and information is provided to implement the design into both Lattice parts and hope
lattice 6502
|
code | Dec 17, 2010 | VHDL | Beta | LGPL |
processor proven,Specification doneWishBone Compliant: NoLicense: LGPLLEM1_9min documentationDescription:Extremely simple micro-controller allowing easy augmentation of the instruction set (e.g. a Hello World project for FPGA micros). Associated assembler written in C#. Example program displays a scrolling "Hello UJorld" on four digit/seven-segment display.Motivation* A Hello World for FPGAse.g. a simple microprocessor core for use in a FPGA/VHDL course* A Core that is easily extended with additional instructions, addressing modes,registers, etc.* Exploits single port distributed RAMs ability to do both
lem1_9
|
code | Dec 20, 2009 | VHDL | Stable | LGPL |
processor enWishBone Compliant: NoLicense: BSDDescriptionLeros is a 16-bit processor optimized for FPGAs. It consumes less than 200 logic cells and 1-2 on-chip memories.Leros is programmed in assembler and in a restricted subset of Java. Leros is a direct competitor to tiny processorcores, such as PicoBlaze.Comparison with PicoBlazeLeros targets the same application area as PicoBlaze andis about the same size. Following list gives the main differences:* Truly open source (BSD)* Compiles on Altera and Xilinx tools* Leros is a 16 bit architectures instead of 8 bit* Leros has no restrictions on code and da
leros a tiny microcontroller for fpgas
|
code | Mar 6, 2012 | VHDL | Stable | BSD |
processor en,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionYet another free 8051 FPGA core. This is a 6-clocker-equivalent implementation of the MCS51 architecture, aiming at area performance. A full description of the core features can be found inthe datasheet. Though the core has already executed a Dhrystone benchmark in actual hardware (see below), it is still immature for actual use. A comprehensive test bench has yet to be developed, for starters.PerformanceSynthesisThese are the synthesis results for the Dhrystone demo:DeviceSynthesis OptionsClock RateCPUTimerUARTT
lightweight 8051 compatible cpu
|
code | Dec 6, 2013 | VHDL | Beta | LGPL |
processor ign done,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis is a simple, small microprogrammed Intel 8080 CPU binary compatible core.There are already at least two other 8080-compatible cores in Opencores, both of them well proven. This one is different because it emphasizes area instead of cycle-count compatibility or speed.I have tried to minimize logic size and complexity as much as possible, at the expense of speed. At about the same size as a Picoblaze on a Spartan 3 (204 LUTs + 1 BRAM), this is perhaps amongst the smallest 8-bit CPU cores available. On the ot
lightweight 8080 compatible core
|
code | Sep 27, 2012 | Verilog | Stable | GPL |
processor ense:DescriptionThis is a design that mixes processor and memory on a single chip. There are a bunch of operations surrounded by buffers. A central unit tells the data where to go. The operations work on data in certain buffers. Operations are performed by moving the data into the proper buffer. It described in much more detail in the specificaiton attatched at the bottom. I'm working on a C++ model right now.Design Flow- Create preliminary spec.- Create C++ model- Create code to convert x86 commands to native commands- Simulate operation of chip- Optimize C++ model- Convert Model to Sys
locationpu
|
code | Feb 6, 2002 | Unknow | Planning | Unknown |
processor ication doneWishBone Compliant: YesLicense: GPLM1 Core briefly...The M1 Core is a 32-bit RISC CPU compatible with a popular GCC target.It's been designed for simplicity and it's been used for some didactical activities at the University of catania.The CPU is written in Verilog and it's been tested on FPGA (Xilinx Spartan-3E Starter Kit).The CVS tree includes sources from other two OpenCores projects:wb_ddrdeveloped by Joerg Bornscheinps2_interfacedeveloped by John Clayton
m1 core
|
code | May 29, 2012 | Verilog | Beta | GPL |
processor proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThis project provides a microprogrammed synthesizable IP core compatible with the WDC and Rockwell 65C02 microprocessors.This project demonstrates the integration of the core, M65C02_Core, with several components, usually supplied by the core's integrator, so that a complete soft-processor is available. The core itself expects several external components to be supplied by the integrator: (1) interrupt controller, (2) memory, and (3) I/O interface buffers. This project integrates examples of those external components with th
m65c02
|
code | Jun 15, 2014 | Verilog | Mature | LGPL |
processor one,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLIntroductionThe MB-Lite microprocessor is a ligth-weight implementation of the Microblaze Instruction Set Architecture. It is instruction and cycle compatible with the Microblaze EDK 10.1i. It is successfully tested on older and newer Xilinx platforms (EDK 9 and 11). The design has been successfully synthesized for an Altera board as well to show platform independence.FeaturesMB-Lite is a highly modular design and is therefore very simple to understand and modify. Features of the MicroBlaze architecture and MB-Lite implement
mb-lite
|
code | Jan 27, 2015 | VHDL | Stable | LGPL |
processor ompliant: NoLicense: GPLDescriptionMcAdam's RISC Computer Architecture (marca) is a simple 16-bit microprocessor, implementing a load/store instruction set architecture and featuring a 4-stage pipeline.Features- 16 16-bit registers- Harvard architecture- all memories on-chip- 16KB instruction ROM- 8KB data RAM- 256 byte data ROM- load/store instruction set architecture- 75 instructions- 16 interrupt vectors- 4-stage pipelineStatus- running on an Altera Cyclone FPGA
mcadams risc computer architecture
|
code | Feb 2, 2007 | VHDL | Stable | GPL |
processor nWishBone Compliant: NoLicense: GPLMCPU - Minimal CPU for a 32 Macrocell CPLDMCPU is a minimal cpu aimed to fit into a 32 Macrocell CPLD - one of the smallest available programmable logic devices. While this CPU is not powerful enough for real world applications it has proven itself as a valuable educational tool. The source code is just a single page and easily understood. Both VHDL and Verilog versions are supplied. The package comes with assembler, emulator and extensive documentation.Please let me know if you find a good use for this CPU and put your project/publication/lecture notes on th
mcpu-a minimal cpu for a cpld
|
code | Jan 20, 2014 | VHDL | Stable | GPL |
processor NoLicense:Description32 Bit RISC Processor, 5 Stage Pipeline. Developed for embedded control of devices. Optimized for the Xilinx SpartanII and Virtex line of FPGA's. Later optimizations will be made for Actel ProASIC(+) FPGA's. Uses the Harvard architecture for memory. It contains one interupt vector with a cause register.The 5 Stages:- Fetch- Decode/Register/Uncoditional Branch- Execute(ALU/Compare/etc.)- Memory/Conditional Branch- Write BackUnique Instructions:- Population Count(Ones,Zeros,Bit Changes)- Random Number GeneratorStatusSVN Contains: AU, LU, Compare Unit, Register File, IF, EX,
microrisc ii
|
code | Apr 1, 2002 | Unknow | Alpha | Unknown |
processor rovenWishBone Compliant: NoLicense: LGPLDescriptionThis project is developed at Reconfigurable Computer Laboratory - FRM - UTN, and allowssimulate and synthetize the Simplez processor. It is a didactic processor created byGregorio Fernndez in his book "Conceptos Bsicos de Arquitectura y Sistemas Operativos",2003 Edition.This theoretical processor has a von Neuman architecture, with a set of eight instructionsand 512 memory words. Each twelve bits word, contains two fields: operation code anddata address. Basically, Simplez repeats cyclically the next three steps:- Reads the instruction stored
microsimplez
|
code | Nov 11, 2011 | VHDL | Stable | LGPL |
processor ant: NoLicense:DescriptionThis is a Mini-RISC CPU/Microcontroller that is compatible with the PIC 16C57 from Microchip. Additional information about the instruction set and capabilities can be found at: www.microchip.com.Legal noticePIC, Microchip, etc. are Trademarks of Microchip Technology Inc. I have no idea if implementing this core will or will not violate patents, copyrights or cause any other type of lawsuits. I provide this core AS IS, without any warranties. If you decide to build this core, you are responsible for any legal resolutions, such as patents and copyrights, and perhaps oth
mini-risc core
|
code | Feb 6, 2012 | Verilog | Stable | Unknown |
processor pliant: NoLicense: LGPLDescriptionThis is an implementation of a Digital (DEC) PDP8/L processor with 4k memory, a single DF32 disk and serial interface. The project target is the ALTERA NEEK (Cyclone III EP3C25F324C6N). Run the 4K Disk Monitor System using only the FPGA chip. Download contains the complete Altera Quartus II (11.0) project directories with simulation files.
minimal pdp8/l implementation with 4k disk monitor
|
code | May 28, 2013 | VHDL | Beta | LGPL |
processor e Compliant: NoLicense: LGPLMain aspects- The miniMIPS is a 32 bits core and has a Von Neumann architecture.- The miniMIPS is 5-stage pipeline :- Instruction extraction- Instruction decoding- Execution- Memory access- Update registers- Only two instructions can access the memory. The others work on registers which are 32 bits large. The processor contains 32 registers.- Data hazards are resolved thanks to a bypass unit.- Branch hazards are resolved by predicting the address results.- Interruptions and exceptions are taken in account thanks to a system coprocessor.AssembleeAn assembly gasm is p
minimips
|
code | Mar 24, 2006 | VHDL | Stable | LGPL |
processor done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionA fault tolerant for processorThe mips €“ fault tolerant is mips 32 bits processor with error detection ( Fault Tolerant ). The processor implementation was designed by Lazaridis Dimitris.Main aspectsThe core is in 5 stages:- Instruction extraction- Instruction decoding- Execution- Memory access- Update registersIt supports almost all instructions of mips technology, R type, I type, Branch, Jump and multiply packet instructions.The multiply result is stored until is needed regardless if others instructions follows.There i
mips-faulttolerant
|
code | May 14, 2013 | VHDL | Stable | LGPL |
processor cification doneWishBone Compliant: NoLicense: LGPLDescriptionUPDATE 1-Jan-2014: This project has moved to GitHub. Please visithttps://github.com/grantea/mips32r1for the latest code. No further changes will be committed to this repository.A 32-bit MIPS processor which aims for conformance to the MIPS32 Release 1 ISA. This processor implementation was designed and built by Grant Ayers as part of the eXtensible Utah Multicore (XUM) project at the University of Utah, 2011-2012. Feel free to send questions or feedback to grant DOT ayers AT stanford.edu.Details- Single-issue in-order 5-stage pipelin
mips32 release 1
|
code | Apr 12, 2014 | Verilog | Stable | LGPL |
processor one Compliant: NoLicense:DescriptionThis is a soft processor core written in verilog-2001 with five pipeline stages which supports almost MIPSI instructions. MIPS789 supports gcc-elf-mips tools provided by Steve Rhords, author of plasma.In fact, this core is designed based on this complier. I€™ve tested it by using a lot of C programs in a CYCLONE device EP1C6Q240 at 50MHZ frequence and it worked so well. By calculation, its CPI (cycle per instruction) is about 1.1 when run common programs.Features- Five stage- IF&ID: instructions fetch /decode.- RF: register fetch /generate ne
mips789
|
code | Oct 2, 2014 | Verilog | Stable | Unknown |
processor provenWishBone Compliant: NoLicense: LGPLDescriptionThe mipsr2000 is mips 32 bits processor. The processor implementation was designed by Lazaridis Dimitris.Main aspectsThe core is in 5 stages:- Instruction extraction- Instruction decoding- Execution- Memory access- Update registersIt supports almost all instructions of mips technology, R type, I type, Branch, Jump and multiply packet instructions.The multiply result is stored until is needed regardless if others instructions follows.There is a separate memory for instructions and another for data read €“ write which can be changed.
mipsr2000
|
code | Feb 6, 2013 | VHDL | Stable | LGPL |
processor :WishBone Compliant: NoLicense: LGPLDescriptionThis project is based on MIPS789 opencores project.We used MIPS789 core and added the cache infrastracture and AMBA bus from LEON3.The final core executes MIPS I instructions.It is downloaded on a Spartan3 fpga(gr-xc3s-1500).In order to test it we used the Leon3 Testbench.
mips_enhanced
|
code | Apr 10, 2011 | Verilog | Stable | LGPL |
processor : NoLicense: BSDDescriptionmmu180 is a MMU (memory mananagement unit) designed per original specifications of Zilog's Z180 family of processors (including Hitachi HD64180), which can be used to enhance any Z80-compatible core or physical processor to address up to 1 MiB of memory, per the original MMU specification. It allows most software written for Z180 devices to run on either a Z80-compatible core with mmu180, or on an actual Z80 or eZ80-family processor interfaced to mmu180 (e.g. in CPLD).StatusAt present, has been simulated with Icarus Verilog and also from within Xilinx ISE WebPACK, an
mmu for z80 and ez80
|
code | Oct 5, 2013 | Verilog | Alpha | BSD |
processor NoLicense: LGPL
mpx 32-bit cpu
|
code | Sep 22, 2013 | Verilog | Stable | LGPL |
processor : NoLicense: LGPLDescriptionmyBlaze is a synthesizable clone of the MicroBlaze Soft Processor written in myHDL (http://www.myhdl.org). It started as a translation of MB-Lite from VHDL to myHDL, along with a simple emulator. Its minimal configuration was tested on the Spartan-3E Starter Kit.Todos:1. Wishbone compliant2. Interrupt Controller3. Porting U-Boot
myblaze
|
code | Nov 21, 2010 | Other | Mature | LGPL |
processor NoLicense: LGPLDescriptionThe NanoBlaze is a grow-up of the Xilinx Picoblaze microcontroller (http://www.xilinx.com/picoblaze.html),hence the name.Various sizes can be defined with the help of generic parameters:- registerBitNb defines the data bit width- programCounterBitNb allows to cope with different program lengths- stackPointerBitNb adapts to various nesting depths of the subroutines- registerAddressBitNb allows to choose the number of internal registers- scratchPadAddressBitNb allows to manage the size of the scratchpad- addressBitNb defines the size of the I/O spaceIt features an asse
nanoblaze the expandable processor
|
code | Apr 9, 2015 | VHDL | Beta | LGPL |
processor ovenWishBone Compliant: NoLicense: LGPLDescriptionNatalius is a compact, capable and fully embedded 8 bit RISC processor core described 100% in Verilog. It occupies about 268 Slices, 124 FFs, 503 LUTs (4 input) in Xilinx Spartan3E1600 (around 1.67% slices). Natalius offers an assembler that can run on any python console.The instruction memory is implemented in two Xilinx BlockRAM Memories, it stores 2048 instructions, each instruction has a width of 16 bits (2048x16). Each instruction takes 3 clock cycles to be executed.DocumentationClick here to read the documentation:DocFeatures1. 8 Bit ALU
natalius 8 bit risc
|
code | Jun 8, 2012 | Verilog | Beta | LGPL |
processor roven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionNavr is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.Atmel AVR compatibleAllClassic Coreinstructions implemented, except conditional branches on I/O registersNo interrupt supportInterrupt related instructions behave as if the I (interrupt enable) bit is hardwired to 0Verilog-2001Used to control theSoftUSBOHCI USB hostFully synchronous2-stage pipelineAlmost cycle accurate with the original AVR. Most instructions execute in 1 cycle.Synthesis results (ISE 12.2 def
navr avr clone 8-bit risc
|
code | Apr 19, 2013 | Verilog | Stable | GPL |
processor NoLicense: GPLDescriptionThis is a little-little processor core. It has 16 instructions. It is under developement again, since the latest version is deleted... It is not yet verified, tested, etc.It's written in Verilog, generaly for Spartan.Features-It's very little.-Easy to understand.-Easy to convert.-Easy to compile the RISC or CISC instructions into this small set of commands.StatusVer 0.1"post-alfa"Ver 0.2There was many errors of syntax...So now there are corrected, it's ready to synthesize.Not yet wholly tested.New in downloads:asm compiler C and Yacc/Lex versions (Alpha)simple simulat
ncore
|
code | Oct 28, 2007 | Verilog | Alpha | GPL |
processor ification doneWishBone Compliant: NoLicense: LGPLDescription80186 instruction compatible, high performance processor, able to execute up to 40MIPS on a Spartan3AN FPGA. It requires ~1500 slices (25%) on a Spartan3AN. The speed performance is comparable with a 486 in 16bit real mode.FeaturesNext186 CPU features:- All 80186 intstructions are implemented according with the 80186 specifications (excepting ENTER instruction, which uses always 0 as the second parameter - level).- all 80186 exceptions implemented (divide error - INT0, Trace - INT1, Overflow - INT4, Bounds - INT5, Invalid opcode - INT
next 80186 processor
|
code | May 31, 2013 | Verilog | Stable | LGPL |
processor proven,Specification doneWishBone Compliant: NoLicense: LGPLDescription- Z80 compatible processor.- All documented / un-documented intstructions are implemented.- All documented / un-documented flags are implemented.- All (doc / un-doc) flags are changed accordingly by all (doc / un-doc)instructions. The block instructions (LDx, CPx, INx, OUTx) have only the documented effects on flags. The Bit n,(IX/IY+d) and BIT n,(HL) un-documented flags XF and YF are implemented like the BIT n,r XF and YF, not actually like on the real Z80 CPU.- All interrupt modes implemented: NMI, IM0, IM1, IM2.- R regi
nextz80
|
code | Jul 3, 2014 | Verilog | Stable | LGPL |
processor YesLicense: GPLDescriptionThe oks8 project is intended to provide a microcontroller in Verilog that likethe KS86C4204/C4208/P4208 microcontroller (Samsung Inc.). It is compatiblewith the SAM87RI instruction set and has some changes to support uC/OS.Two different top levels:- Less cycles of each instruction- 16bits program memory and data memorySAM87RI, KS86C4208, etc. are Trademarks of Samsung Inc. I have no idea ifimplementing this core will or will not violate patents, copyrights or causeany other type of lawsuits. I provide this core AS IS, without any warranties.Features- Capable of execut
oks8
|
code | Jan 24, 2006 | Verilog | Alpha | GPL |
processor t: NoLicense: LGPLDescriptionOoOPs is intended to be a higher-performance alternative to other MIPS(TM)-compatible projects on OpenCores. Many of the other CPU cores are targeted for low resource utilization and/or higher energy efficiency. OoOPs will instead target higher performance (both frequency and IPC) through more aggressive pipelining and out-of-order execution. This means that OoOPs will be more resource intensive, especially due to the nature of out-of-order architectures. To help find better performance/area operating points for the user, many structure sizes and optional featu
ooops-out-of-order mips tm processor
|
code | Apr 3, 2012 | Verilog | Planning | LGPL |
processor A proven,Specification doneWishBone Compliant: NoLicense: BSD8-bit RISC processor core based on the Vautomation uRISCThis is a "clean" reimplementation of the Vautomation uRISC processor core (aka the "V8", also named the Arclite core) based on ISA documentation only.It implements the full v8 architecture with a few additions, most of which are optional:* Thirty-six basic instructions (and four new instructions)* 8-bit PSR(Program Status Register) with Zero, Carry, Negative, and Interrupt status bits, and 4 general purpose status bits.* Eight 8-bit registers, R0 though R7.* Accumulator registe
open8 urisc
|
code | Sep 23, 2013 | VHDL | Stable | BSD |
processor nse:DescriptionThe OpenCores54x (OC54x) DSP core is a cleanroom implementation of a popular family of DSPs designed by the No.1 DSP supplier from the southern part of the US.The core is designed to be software compliant with the original Texas Instruments C54x DSP. However, the core is not designed to be 100% compatible with the TI's C54x chips. The core features some extension and improvements over the original design, which make it not-compatible. Also, partially caused by the structure choosen, partially to not completely compete with TI, some operating modes and hence opcodes are not suppo
opencores54x dsp
|
code | Jan 20, 2004 | Unknow | Beta | Unknown |
processor ant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
opencpu32
|
code | Mar 31, 2012 | VHDL | Planning | LGPL |
processor WishBone Compliant: NoLicense:DescriptionThe OpenFire Processor Core is an open-source, binary-compatible MicroBlaze clone written in Verilog. Binary-compatible means exactly that - a binary compiled for a MicroBlaze embedded system will run on an OpenFire that is placed in the same embedded system. The OpenFire was designed for use in SCMP (Single Chip, Multiple Processor) and ASIP (Application Specific Instruction-set Processor) research. The OpenFire has an advantage in these areas as the entire HDL source is available, creating ultimate flexibility.The OpenFire Processor Core was writte
openfire processor core
|
code | Dec 13, 2007 | Verilog | Alpha | Unknown |
processor esign done,FPGA proven,Specification doneWishBone Compliant: NoLicense: BSDThe openMSP430 is a synthesizable 16bit microcontroller core written in Verilog. It is compatible with Texas Instruments'MSP430 microcontroller familyand can execute the code generated by any MSP430 toolchain in a near cycle accurate way.The core comes with some peripherals (16x16 Hardware Multiplier,Watchdog, GPIO, TimerA, generic templates) and most notably with a two-wireSerial Debug Interfacesupporting theMSPGCCGNU Debugger(GDB) for in-system software debugging.While
openmsp430
|
code | Jun 15, 2015 | Verilog | Stable | BSD |
processor hBone Compliant: NoLicense: LGPLDescriptionThe project is based on OpenCores' OR1200 project.The core is now hyper pipelined. It is a technique to multiply the functionalityof a design by adding registers (called pipeline stage registers) to the core logicin order to multiply its functionality. If you are interested in the technology, go to www.cloudx.ccThe functional behavior of the OR1200 remains the same, the hyper pipelinedversion is used when multiple OR1200 cores (2, 3, ...) are instantiated in thesame design (multicores).The main benefit is the multiplication of the core's functionality
openrisc 1200 hp hyper pipelined or1200 core
|
code | Aug 6, 2013 | Verilog | Stable | LGPL |
processor Updated: Jul 26, 2010SVN:BrowseLatest version:downloadStatistics:ViewOther project propertiesCategory:ProcessorLanguage:VerilogDevelopment status:PlanningAdditional info:WishBone Compliant: NoLicense: LGPLthank you very much!Retrieved from "http://opencores.org/or2k/Main_Page"This page was last modified on 18 July 2011, at 09:06.This page has been accessed 29,870 times.Privacy policyAbout OR2KDisclaimers
openrisc 2000
|
code | Jul 14, 2010 | Verilog | Planning | LGPL |
processor provenWishBone Compliant: NoLicense: LGPLDescriptionThis project implements a single cycle core for the emulation of PIC16C5x microcomputers. The core requires the integrator to implement the I/O registers and program memory. The core provides these standard base architecture peripherals: timer, pre-scaler and clock multiplexer, and watchdog timer. The core provides selects and read/write strobes for the three I/O ports and the corresponding TRIS registers.The timer peripheral supports all normal functions of the timer 0 in the PIC16C5x family of 12-bit instruction processors. A fully synchron
p16c5x
|
code | Apr 20, 2014 | Verilog | Mature | LGPL |
processor se:DescriptionThis project implements an 8 bit controller that is compatible with Atmel's AVR architecture, using VHDL (Very High speed integrated circuits Hardware Description Language).pAVR is not a specific controller of the AVR family, but rather a maximally featured AVR. It is configurable enough to be able to simulate most AVR family controllers.The goal was to obtain an AVR processor that is as powerful as possible (in terms of MIPS), with a work budget of about 6 months*man.pAVR is about 3x faster than the original core, if built with the same technology.The sources are modularized. Th
pavr
|
code | Jul 1, 2009 | Unknow | Alpha | Unknown |
processor shBone Compliant: NoLicense: GPLDescriptionFig D-1: A PDP-11/70 Console. These display and switch consoles were the hallmark of the PDP-11 computers in the 70ties. Picture courtesy of Henk Gooijen, see alsoHenk's PDP-11 collection.The project contains a completeDECPDP-11system: aPDP-11/70CPU with memory management unit, but without floating pointunit, a complete set of mass storage peripherals (RK11/RK05,RL11/RL02, RK70/RP06, TM11/TU10) and a basic set ofUNIBUSperipherals (DL11, LP11, PC11), and last but not least a cache andmemory controllers for SRAM and PSRAM. The design isFPG
pdp-11/70 cpu core and soc
|
code | Jun 21, 2015 | VHDL | Beta | GPL |
processor icense: GPLDescriptionThe PDP-8 was one of the earliest minicomputers and was in use from the mid 1960s into the 1980s.Because the PDP-8 was relatively inexpensive and was available in various forms for many years, the PDP-8is remembered fondly by many programmers and engineersThis project implements a complete PDP-8 system.The system includes the many of the basic PDP-8 peripherals including:Configurable PDP-8 CPUMS8C 32K-word memoryKC8E Front PanelKE8 Extended Arithmetic ElementKM8E Extended MemoryKM8E Time SharingDK8EA/DK8EC/DK8EP Real Time ClockKL8E Asynchronous Serial Interface (x2)LS
pdp-8 processor core and system
|
code | May 18, 2013 | VHDL | Alpha | GPL |
processor Compliant: NoLicense: LGPLBuilding team. If any beginner became inetersted in it, email me.AboutPepelatz MISC is a very small 16-bite processor written on Verilog.It can be used for learning Verilog HDL and computer low-level architecture.
pepelatz misc
|
code | May 25, 2011 | Verilog | Planning | LGPL |
processor venWishBone Compliant: NoLicense: OthersDescriptionThe Plasma CPU is a small synthesizable 32-bit RISC microprocessor. It is currently running a live web server with an interrupt controller, UART, SRAM or DDR SDRAM controller, and Ethernet controller. The Plasma CPU executes all MIPS I(TM) user mode instructions except unaligned load and store operations (see "Avoiding Limitations" below).This "clean room" CPU core is implemented in VHDL with either a two or three-stage pipeline. It is running at 25 MHz on a Xilinx FPGA and also verified on an Altera FPGA.Success StoriesThe Plasma CPU along
plasma-most mips itm opcodes
|
code | Jun 1, 2015 | VHDL | Stable | Others |
processor enWishBone Compliant: YesLicense: BSDThe Potato ProcessorThe Potato Processor is an implementation of the 32-bit integer subset of the RISC-V ISA.Notable features are:Supports the full RV32I subset of the RISC-V ISA, version 2.0.Supports the csrr\* and sret instructions from the RISC-V supervisor extensions draft, version 1.0.Includes a simple, direct-mapped instruction cache for high-speed performance.Includes a HTIF interface that can be used with the FROMHOST/TOHOST registers.Includes a Wishbone interface for integration into Wishbone-based systems.The processor has been tested on a Nexys 4
potato processor
|
code | Jun 18, 2015 | VHDL | Alpha | BSD |
processor ense:DescriptionMicrocontroller core compatible with 16C55 and 16F84.Single cycle VHDL implementations of 16C55 and 16F84. Four times faster than the original MCUs, otherwise timing compatible. Watchdog and EEPROM are not implemented. Both implementations use the configurable PPX16 12/14 bit instruction width core, other MCUs using the same instruction set can easily be implemented by creating a new top level.There are utilities included that can create VHDL ROMs for simulation and synthesis. The utilites create generic ROMs that can be used for simulation and for synthesis with Leonar
ppx16 mcu
|
code | Jan 19, 2007 | Unknow | Stable | Unknown |
processor eWishBone Compliant: NoLicense: LGPLDescriptionProject Qrisc32 is academic research and implementation of 4 stages risc cpu. Testbench runs 3 different sorting algorithms on qrisc32 and shows cycles for each turn. For observing instruction set, please refer to "risc_report.pdf" file. For running simulation you can use Modelsim with run_sim.tcl file.Qrisc32 is implemented by using SystemVerilog.
qrisc32 wishbone compatible risc core
|
code | Dec 5, 2011 | Verilog | Alpha | LGPL |
processor YesLicense: LGPL
r2000 soc
|
code | Nov 24, 2011 | Verilog | Alpha | LGPL |
processor liant: NoLicense: LGPLDescriptionRaptor64 is a 64-bit multi-context RISC cpu that supports hyper-threading. There are 16 register sets that the processor automatically switches between at high speed. The processor is fully pipelined with a nine-stage pipeline. Stages: IF/RF/EX/M1/M2/M3/M4/WB/TR. Communication with memory is via a 32 bit MIG bus. The processor has a 8kB instruction cache and 16kB data cache. Also included is a 16 entry TLB for memory management. The processor uses 32 bit instructions.I've created two versions of the processor a non-hyper-threaded version (sc) in addition to the
raptor64
|
code | Feb 16, 2013 | Verilog | Planning | LGPL |
processor oLicense: LGPLDescriptionThis CPU project does not implement fully AVR compatible core.Instead it realizes very minimal functionality.Task was to make kind of CPU that can fit into very small CPLD (Altera's EPM240T100C5) and still leave some space for other logic.Development platform was choosed opensource "Marsohod" board. About this board You can read more onhttp://www.marsohod.org/index.php/howtostart/platabut it is Russian idea and pages. This board is dedicated for education, hobbies, creating electronic toys etc.Board has 4 buttons, 8 LEDs, 2 step motor control sockets.In AVR8 project we
reduced avr core for cpld
|
code | Aug 29, 2010 | Verilog | Beta | LGPL |
processor GA provenWishBone Compliant: NoLicense: OthersDescriptionThe risc16f84 project is intended to provide a small, easy to use microcontroller in Verilog. The original code was VHDL, but I have done a wonderful translation of it into good clean Verilog code. (Well, I think it is wonderful, anyway.) The VHDL code was called "CQPIC" and it was published in 1999 by Sumio Morioka of Japan, in the December 1999 issue of "Transistor Gijutsu Magazine." I did the translation by hand, and then tested the design in actual hardware by running C code on it, and looking for correct behavior. I realize that
risc16f84
|
code | Jun 29, 2014 | Verilog | Stable | Others |
processor venWishBone Compliant: NoLicense: LGPLDescriptionA small RISC CPU (written in VHDL) that is compatible with the 12 bit opcode PIC family. Single cycle operation normally, two cycles when the program counter is modified. Clock speeds of over 40Mhz are possible when using the Xilinx Virtex optimizations.Licensed under LGPL.Legal StuffThis core is distributed in the hope that it will be useful, butWITHOUT ANY WARRANTY; without even the implied warranty ofMERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.You are responsible for any legal issues arising from the use of this core.PIC is a trademar
risc5x
|
code | Sep 9, 2011 | VHDL | Stable | LGPL |
processor ant: NoLicense: LGPLDescriptionThis project is an implementation of a processor compatible with the instruction set of the RISCO architecture.A description of the original RISCO ISA is available onhttp://hdl.handle.net/10183/21530.An assembler and a compiler are available onhttps://code.google.com/p/risco-llvm/.
riscompatible
|
code | Aug 29, 2014 | VHDL | Beta | LGPL |
processor t: NoLicense:motivationThis project is my diploma paper i have written to gratuate at the University of Applied Sciences St.Gallen (Switzerland).DescriptionThis is a 4 stage 16-Bit RISC processor system on chip designed for a Xilinx Virtex FPGA. RAM and ROM both are blockRAM based.Additionally, it is equiped with a parallel multiplier, a 8-Bit input and a 8-Bit output port.This core wasn't designed for commercial but for educational use. RAM, ROM and the ports are designed with the schematic editor from Xilinx ISE. RAM and ROM are dual ported for an additional access over a pci bridge. The CPU
risc_core_i
|
code | Jan 17, 2002 | Unknow | Planning | Unknown |
processor cense:DescriptionRISE (Rarest Instruction Set Ever) is a pipelined 16-bit RISC processor with a simple instruction set. One outstanding feature of the instruction set is that all instructions are conditional, i.e. the execution of a instruction may depend on flags in the status register. The processor is equipped with 16 registers: 12 general purpose registers and 4 registers that have are reserved for specific functions (e.g. program counter). The HDL used for this project is VHDL. For further information on the instruction set architecture have a look at this page:http://en.wikiversity.org/w
rise microprocessor
|
code | Jan 26, 2007 | VHDL | Beta | Unknown |
processor one Compliant: YesLicense: LGPLDescriptionThe RTF65002 is a 32 bit processor with an instruction set influenced by the 6502 instruction set. It is a 16 register 32 bit word oriented design. The RTF65002 includes 65C816/65C02 emulation modes allowing it to run existing code. In native 32 bit mode the opcodes are redefined in a fashion suitable for 32 bit mode. An attempt has been made to follow the same pattern as the 6502 for opcodes. For instance opcode 69h is an add instruction on the 6502; it's an add instruction on the RTF65002 as well.Features- 32 bit WISHBONE burst mode compatible bus in
rtf65002
|
code | May 4, 2014 | Verilog | Alpha | LGPL |
processor iant: NoLicense: LGPLDescriptionrtf8088 is a core capable of executing the 8088 instruction set. The core uses a hard-wired state machine approach.
rtf8088
|
code | Dec 30, 2012 | Verilog | Planning | LGPL |
processor one Compliant: YesLicense: GPLS1 Core briefly...The S1 Core is a reduced version of the OpenSPARC T1 released by Sun Microsystems. While the T1 is a complete microprocessor with 8 cores (capable of running up to 32 concurrent threads) and includes a crossbar switch, L2 Caches and several other interfaces, the S1 takes only one 64-bit SPARC v9 core (capable of running from 1 up to 4 concurrent threads) and includes a Wishbone Master Interface to connect to the cores available on OpenCores.For more details please refer to theSimply RISC website, or to the newOpenSPARC SoC projectthat contains se
s1 core
|
code | Oct 1, 2012 | Verilog | Stable | GPL |
processor venWishBone Compliant: NoLicense: LGPLDescriptionThe SAYEH processor (Simple Architecture,Yet Enough Hardware) has been designed for educational and benchmarking purpose. Relying on the material of the computer architecture course provide the necessary background for understanding details of the hardware of SAYEH, so it could be useful IP core for graduate or last year undergraduate students to implement computer architecture materials in a real processor design.Originally SAYEH has been developed in ECE at university of Tehran, IRAN.FeaturesSAYEH has a register file that is used for data proc
sayeh educational processor
|
code | Jul 17, 2008 | Verilog | Stable | LGPL |
processor License: LGPLDescriptionThe SCARTS processor is small and flexible processor, which has been specifically designed for embedded systems with real-time requirements. The deterministic architecture (all instructions execute in a single cycle) and the support of conditional instructions significantly simplify the task of WCET analysis. For SW development there is a toolchain based on the GNU Binutils/GCC/GDB. Furthermore there is a port of the Red Hat Newlib, a C standard library for embedded systems.FeaturesRISC processor122 instructions, all single cycleMost instructions conditional4 stage pipe
scarts processor
|
code | Apr 4, 2012 | VHDL | Beta | LGPL |
processor rovenWishBone Compliant: NoLicense: OthersDescriptionSSBCC.9x8 is a free Small Stack-Based Computer Compiler with a 9-bit opcode, 8-bit data core. It creates vendor-independent, high-speed, low fabric utilization micro controllers for FPGAs. It has been used in Spartan-3A, Spartan-6, Virtex-6, and Artix-7 FPGAs and has been built for Altera, Lattice, and other Xilinx devices. It is faster and usually smaller than vendor provided processors.The compiler takes an architecture file that describes the micro controller memory spaces, inputs and outputs, and peripherals and which specifies the HD
small stack based computer compiler
|
code | Feb 5, 2014 | Verilog | Stable | Others |
processor NoLicense: LGPLDescriptionsub86 is a frugal 32bits cpu that executes a small subset of the legacy x86-32 instructions.The core has been designed with a C compiler back end code generator with three focus :- limit the number of opcode and instructions as much as possible.- the resulting binary code must run functionally equivalent on real PC and on sub86 core.- make the core as small as possible, by limiting the number of required hardware ressources ( alu , multiplier , number of registers : only EAX/EBX/ECX/EDX/ESP/EBP/PC/flags registers are implemented).The status of the development (in Dec
small x86 subset core
|
code | Dec 18, 2012 | Verilog | Alpha | LGPL |
processor e Compliant: YesLicense: GPL
storm core arm7 compatible
|
code | Mar 8, 2014 | VHDL | Beta | GPL |
processor fication doneWishBone Compliant: NoLicense: LGPLDescriptionSweet32 is best described as a €˜no-frills€™ Minimal-RISC 32bit microprocessor, created by Valentin Angelovski in Melbourne Australia.Designed with low gate-count in mind, typical Sweet32 logic utilization on theLattice MachXO2 FPGA (for example), is 842 LUT4 elements in a standard configurationand area-optimized form. Further details can be found in theSweet32 RISC CPU overview 0v80. HDL sources can be found in the SVN link given aboveSweet32 Architecture Summary:16x32-bit General Purpose CPU registers27 In
sweet32 cpu
|
code | Nov 21, 2014 | VHDL | Beta | LGPL |
processor :Description32 bit pipelined processor.Instruction set is non conventional in that it does not use a conventional decoder for instructions. The instruction set is made by collecting together "atomic" control signals together to form the instruction. This was done to eliminate the need for the decoder and save an extra pipeline stage. As a consequence latency is reduced and recovery from pipeline flushes do not degrade performance as badly.The design goals for the processor were speed, small size and flexibility.An extensible bus architecture is supported so that extra functions and bus archi
sxp simple extensible pipeline processor
|
code | Dec 12, 2001 | Unknow | Beta | Unknown |
processor nWishBone Compliant: NoLicense: GPLDescriptionThe T400 Controller is an implementation of National's 4-bit COP400 microcontroller family architecture. It is intended to be used as a replacement for the original chip in SOCs recreating legacy systems.Its final target is to provide design variants that are compatible with the COP420/421 and COP410L/411L family members. All of them derived from the common t400_core design. Such a sample system has been implemented in several FPGA families.COP421-like features64 bytes internal RAM1024 bytes internal ROM (only small part used for program)4 MHz requ
t400 µcontroller
|
code | Aug 19, 2009 | VHDL | Stable | GPL |
processor WishBone Compliant: NoLicense: GPLDescriptionThe T48 Controller core is an implementation of the MCS-48 microcontroller family architecture. While being a controller core for SoC, it also aims for code-compatability and cycle-accuracy so that it can be used as a drop-in replacement for any MCS-48 controller.It can be configured to better suit the requirements and characteristics of the integrating system. On the other hand, nearly the full functionality of a stock 8048/8049 is available.Such a sample system has been implemented in several FPGA families.8048-like features64 bytes internal RAM10
t48 µcontroller
|
code | Aug 19, 2009 | VHDL | Stable | GPL |
processor pliant: YesLicense: LGPLDescription8052 compatible microcontroller core.Two different top levels:T8052:- Single cycle synchronous RAM/ROM- Wishbone bus interface for memory mapped peripheralsT8032:- Wishbone bus interfaceA utility to create VHDL ROMs is also included.To create a ROM compatible with the 8052 core type:hex2rom [-b] inputfile.hex ROM52 13b8s > ROM52.vhdLeonardo Spectrum can infer the ROMs created with hex2rom to Xilinx block RAM.I have also modified the baud rate recognition of the BASIC-52 ROM to support the faster instruction timing. The modified
t51 mcu
|
code | Apr 1, 2014 | VHDL | Stable | LGPL |
processor pliant: NoLicense:DescriptionConfigurable cpu core that supports 6502, 65C02 and 65C816 instruction sets.A SoC debug system with ROM, RAM and two 16450 UARTs is included in the distribution. It is possible to run the NoICE debugger on this system.Batch files for runnning XST and Leonardo synthesis can be found insyn/xilinx/run/. Check these scripts to see how to use the included VHDL ROM generators.Before you can run the scripts you need to compile hex2rom and xrom or download binaries fromhere. You must also replace one of the hex files in sw/ or change the batch files to use anot
t65 cpu
|
code | Mar 31, 2010 | VHDL | Stable | Unknown |
processor ication doneWishBone Compliant: NoLicense: GPLDescriptionA 6507-compatible microprocessor was developed. It will be used in a SoC that targets the ATARI 2600 system. RIOT(MOS 6532) and TIA chips will be developed to complete the entire system.Features- Cycle accuracy with original 6507.Status- July 2009. Project ended.- April 28, 2009 - ALU 100% covered. FSM still undergoing verification.- March 26, 2009 - Verification started.- March 25, 2009 - Simulation ended. ALU and FSM are coded.- March 18, 2009 - Simulation started.- March 06, 2009 - ALU and FSM started to be coded. No support for undoc
t6507lp
|
code | Dec 6, 2010 | Verilog | Beta | GPL |
processor se:DescriptionConfigurable cpu core that supports Z80, 8080 and gameboy instruction sets.Z80 and 8080 compability have been proven by numerous implementations of old computer and arcade systems.It is used in thezxgateproject, a zx81, zx spectrum, trs80 and Jupiter ACE clone project.And also in theFPGA Arcadeproject.A Z80 SoC debug system with ROM, RAM and two 16450 UARTs is included in the distribution. It is possible to run the NoICE debugger on this system.Batch files for runnning XST and Leonardo synthesis can be found insyn/xilinx/run/. Check these scripts to see how to use
t80 cpu
|
code | Jun 9, 2015 | Unknow | Stable | Unknown |
processor nWishBone Compliant: NoLicense: LGPLATTENTION!Only the SVN was updated.I don't know how I can update the latest version download.DescriptionThis is a stable Version of a 68000 compatible CPU.It is an adapted Version to use with the Minimig Core."compatible" means that most of byte and word Instructions are cycle exact but many other Instructions are faster."adapted" means that the synchronous Mode, some bus control signals and the FC Out are missing. They are not needed for the minimig.Featurescirca 3600 LC's on a ALTERA Cyclone II,circa 2700 Slices an a XILINX Spartan 3,StatusTested with the
tg68-execute 68000 code
|
code | Jun 13, 2012 | VHDL | Stable | LGPL |
processor nt: NoLicense:The Neptune coreNeptune is an attempt to create a new, next-generation processor architecture.IMAGE: triton-block.pngFILE: triton-block.pngDESCRIPTION: This 8008 block diagram is filler until a new one is designed.
the neptune core
|
code | Feb 19, 2006 | Unknow | Planning | Unknown |
processor nt: YesLicense: GPLOverviewTheia GPU OverviewTheia is a fully programmable Graphic Processing Unit written in structural VerilogTheia features a multi-core architecture.Theia uses a Ray-Cast approach to perform the RENDER. The Multi-core architectue benefits fromthe parallel nature of Ray casting.Each core has a pipe-lined SIMD ALU, capable of performing Fixed Point arithmetic on 3D vectors.Theia's instruction set includes logic, arithmetic and flow control instructions.Theia features a default code written into each core's ROM.The default code allows a fully functional RENDER including textur
theia ray graphic processing unit
|
code | Aug 16, 2011 | Verilog | Beta | GPL |
processor cense:DescriptionSimple minimal VHDL RISC processor.Heavily inspired by Tim Bscke and his MCPU project (Avaiable here on opencores), the processor is an accumulator based machine with an index register.The processor, like Tim's, was designed to fit in small FPGA or large CPLD.License is free - do with it what you will. It would be nice if you credited me, however - we all have to work.I have no idea if implementing this core will or will not violate patents, copyrights or cause any other type of lawsuits. I provide this core AS IS, without any warranties.Features- Accumulator based machine- 8
tiny instruction set computer
|
code | Feb 27, 2009 | VHDL | Beta | Unknown |
processor NoLicense:Description Tiny64A 64-Bit RISC CPU with minial resource usage. Every opcode is executed in 2 clock cycles.The word size is configurable via XLEN from 32 up to the FPGA limit. The assembler supports alsodiffernet word sizes.Due simplicity TinyX supports no interrupts, cache, MMU, FPU.Interrupts may supported in the future.The assembler syntax is unusual. because jump instructions are codedas MOV to the R7 register.At March 2004 is was tested 32-Bit and 64-Bit in the Xilinx XC2S200 SpartanII.Features Tiny642 clock cycles on all op-codesR7, the PC is equal handled like a normal registe
tiny64
|
code | May 7, 2007 | VHDL | Stable | Unknown |
processor oLicense:DescriptionTiny8 a simple 8 bit microprocessor with classic CISC architecture.The registers resides in RAM addressed via a base pointer in the WPregister (like the TMS9900). So it has 256 8-bit registers that can be combined to 128 16-bit address registers (the registers pairing is free, no adjacend register numbers needed).This project contains also a simple assembler.FeaturesUses an ALTERA 10k10 device, only 60% of its resources needed.256 8-bit registers or 128 16-bit address registers.Statusready to be used
tiny8
|
code | Feb 11, 2007 | VHDL | Mature | Unknown |
processor shBone Compliant: NoLicense: BSDDescriptionThis is a tiny processor meant to be nice and simple. Here are a few of the technical goals of this processor:1. 8-bit processor (8 bit registers and operations)2. 16-bit address bus (capable through "segment registers" similar to the 80863. 1 instruction per clock cycle4. Hopefully simple to understand code
tinycpu
|
code | May 17, 2012 | VHDL | Alpha | BSD |
processor one Compliant: NoLicense:DescriptionTotalCPU is RISC core with 12-bit instruction width and variable data width (from 12 to 64 bits). It is completely realized on Verilog-2001 and has two variants of implementation - with program counter placed in register block or defined as a standalone register. The first variant requires less hardware resources but it is almost 2 times slower then the second variant. It has its own instruction set that doesn€™t depend upon data path width.the description and sources of TotalCPU arehttp://www.opencores.org/cvsweb.shtml/totalcpu/(here)Status- Desi
totalcpu
|
code | Jun 16, 2007 | Verilog | Alpha | Unknown |
processor nt: YesLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).Functional Block Diagram
turbo 8051
|
code | Feb 14, 2012 | Verilog | Beta | LGPL |
processor ovenWishBone Compliant: NoLicense: BSDDescriptionThe TV80 is an 8-bit Z80-compatible microprocessor core, written in Verilog. It is based on Daniel Wallner's VHDL T80 core.Features- executes 8080/Z80 instruction set- cycle timing is similar to original Z80- small die area- sample peripheral with GMII interface- Optional Wishbone wrapper for TV80 core now availableStatus- taped out in TSMC 130nm (250 Mhz, ~20k gates)- taped out in TSMC 65nm process (125 Mhz)- Microprocessor-controlled verification environment
tv80
|
code | Oct 17, 2012 | Verilog | Mature | BSD |
processor e Compliant: YesLicense:DescriptionUCore is a RISC microprocessor compatible of the MIPS32R2 Instruction Set. It can run all the MIPS32R2 instructions except the branch likely instructions. For these instructions are not recommended in the specification.The processor has 6 pipeline stages: Instruction Fetch (IF), Instruction Decode (ID), Register Fetch (RF), Execution (EX), Memory Access (MEM) and Write Back (WB).The processor uses synchronous ram as its Register file, data RAM and instruction RAM, which makes it be easily implemented in both FPGA and ASIC, especially in FPGA.The processor fs
ucore
|
code | Aug 4, 2010 | Verilog | Stable | Unknown |
processor ompliant: YesLicense: BSDDescriptionThis project is a soft processor core compatible with 586 instruction set.It has been developped on Nexys4 board, with Artix7-100 FPGA with external SRAM and SPI flash.The project contains the core and also a platform to demonstrate the core with interfaces forexternal 16MB SRAM and 128Mbit SPI Flash.The platform boots linux kernel with a ramdisk contained in the SPI flash.The processor core has wishbone interface.** CORE DETAILS:* Features:- 586 instruction set implementation, conditional mov added.- MMU with protected and paged mode supported with 4KB page
v586
|
code | May 31, 2015 | Verilog | Beta | BSD |
processor mpliant: NoLicense: GPLemulating the IC6821One day my partern says lets make this old board smaller. But a lot of IC6821. So emulate them into an FPGA. So i developed the code in VHDL. The 6821 is a peripheral from MOTOROLA. It has two bidir ports PA,PB and four interrupt inputs, two of them also can be configured for handshake.Not time to write yet.- feature1- feature1.1-feature1.2-feature2Status early yet-- On 16 december 2005 i finished the coding and some basing simulation using the QUARTUS web edition.FILE: VHDL6821.vhdFILE: VHDL6821.vhdDESCRIPTION:
vhdl core of ic6821
|
code | Dec 20, 2009 | VHDL | Beta | GPL |
processor : NoLicense: LGPLDescriptionVerilog implementation of the old CARDIAC teaching computer from Bell (the one made out of cardboard). This version runs on a Spartan 3 board from Digilent and it is pretty faithful to the original.Documentation about the project:http://www.drdobbs.com/embedded-systems/the-heart-of-a-cpu/240153772http://www.drdobbs.com/embedded-systems/expanding-vtach/240155198http://www.drdobbs.com/embedded-systems/cardiac-to-fpga/240155599http://www.drdobbs.com/embedded-systems/paper-to-fpga/240155922
vtach-bell labs cardiac reimagined in verilog
|
code | Mar 30, 2014 | Verilog | Mature | LGPL |
processor one Compliant: YesLicense: LGPLDescriptionVHDL reads a file of instructions to perform Wishbone access, as if it's a wishbone master.Used in testing a Wishbone peripheral with out having to instantiate and program a 'CPU' functionThe aim is to have a text file with commands in it, which is the only bit that needs to be modified for different tests.This test file is read in to the units, which runs the test.Features- tested out with Modelsim 6.2g and Xilinx ISE 9.2 sp4StatusFirst bits of VHDL put into CVS.Have in package commandsW32 and R32 for 32 bit reads and writes.BKW32 and BKR32 for block
wishbone bfm
|
code | Feb 21, 2008 | VHDL | Beta | LGPL |
processor ne Compliant: YesLicense:notesWhile the greatest percentage of the logic for this processor has been verified, there are still a copule of areas of concern.1) Interrupt testing should be better2) hazard testing should be better.3) There is at present not a written verification plan.Guy Hutchison (see TV80 project) has synthesized an early version of the core in a 130nm TSMC process. He determined the design to contain about 20k gates and run at about 240 Mhz. While the speed is somewhat less than "target", optomizations of the logic should increase this somewhat.I have synthesized the prese
wishbone high performance z80
|
code | Jun 25, 2012 | Verilog | Stable | Unknown |
processor Compliant: NoLicense: BSDDescriptionZ80/Z180 compatible processor softcore. Based on Y80 project described in the book 'Microprocessor Design Using Verilog HDL' of Monte Dalryple from Systemyde. If you want to understand internals of CPU then this book may greately help you to do it.This CPU supports commonly used Z80 undocumented instructions: operations with halfs of index registers and SLI/SLL (Shift Left Logical). Optionally it supports emulation of R register.Additionally CPU is Z180 compatible. Supported all IO, MLT (implemented via standard Verilog multiplication) and TST instructions.
y80e-z80/z180 compatible processor extended by ez8
|
code | May 29, 2013 | Verilog | Stable | BSD |
processor Compliant: NoLicense:DescriptionYACC (Yet Another CPU CPU) is MIPS I (TM) subset cpu written in Verilog-2001 HDL. YACC has 5 pipeline and shows 110 DMIPS in stratix2 with synthesized allowable clock of 165MHz. It is independent design of plasma, although YACC uses gcc-elf-mips tools provided by Steve Rhords, author of plasma (Most mips written in VHDL).The core was developed by using my Simulator, with post layout gate simulation, and tested by actual FPGAs, using Xilinx spartan3 starter kit and Cyclone by Altera,running 800 digits of pi calculation ,(255,223) Reed Solomon Error Correction ,a
yacc-yet another cpu cpu
|
code | Apr 25, 2005 | Verilog | Stable | Unknown |
processor NoLicense:IMAGE: ys_logo.jpgFILE: ys_logo.jpgDESCRIPTION: Yellow Star LogoDescriptionIt is capable of executing 32bit instructions based on the MIPS R3000 microprocessor instruction set and has been tested running large blocks of compiled C code.Fully functional and compatible interrupt system. Can handle all exceptions cleanly and correctly.Two 2Kbyte (Data and Instruction) direct mapped caches with coherency.Memory management unit with 64 Entry TLB fully compatible to original design.Designed in Powerview package but can be distributed in hierarchical schematic EDIFWarning: The manual stated
yellow star
|
code | Jul 8, 2013 | Unknow | Stable | Unknown |
processor oLicense: BSDDescriptionA Verilog implementation of the Infocom Z-Machine V3. The spec the Z3 follows ishttp://inform-fiction.org/zmachine/standards/z1point0/index.html.Specifically version 3, the so called "Standard" games. These were released between 1982 and 1987 and covers most Infocom games. Z3 passes the "CZECH - Comprehensive Z-machine Emulation CHecker" by Amir Karger. And is known to run at least Zork I, Hitchhiker's Guide to the Galaxy, Planetfall and Curses (by Graham Nelson).The following YouTube video shows it in action:http://www.youtube.com/watch?v=HuQZq6DQQDYTo provide the inpu
z3-the zork cpu
|
code | Nov 30, 2014 | Verilog | Stable | BSD |
processor t: NoLicense: GPLDescriptionMicroprocessor targeting embedded industrial control systems. Uses a z80 core available at opencores as T80. It is in early development stages. It is currently being developed with a Altera Cyclone II FPGA Starter Board (DE1). The idea is to have a system that will communicate to a PLC and a PC via serial interface. This allows the ability to expand peripherials on a PLC while giving the user PC control from a visual enviroment using custom software.
z80control
|
code | Dec 1, 2012 | VHDL | Alpha | GPL |
processor Compliant: YesLicense: GPLDescriptionTheZet SoC PC platformandprocessoris an open implementation of the so widely usedx86architecture. This project is being developed using four different FPGA boards:Xilinx ML-403,Altera DE0,Altera DE1andAltera DE2-115boards. Currently it's in a very early stage of development and only the 16 bit part is supported. The official website for the project is:zet.aluzina.org.Some features of theZet SoC PC systeminclude:16 bitZet processorequivalent to an Intel 80186, running at 25 Mhz (Wishbone compatible)WishboneZBT SRAM memory controlleraddressing 1 Mb of lo
zet-the x86 ia-32 open implementation
|
code | Nov 4, 2013 | Verilog | Alpha | GPL |
processor n,Specification doneWishBone Compliant: YesLicense:The worlds smallest 32 bit CPU with GCC toolchainRead about some of the professional uses of the ZPU:http://www.zylin.com/zpuexpertise.htmlThe ZPU is a small, portable CPU core with a GCC toolchain and eCos RTOS support.The ZPU has a FreeBSD license for the HDL and GPL for the rest. This allows deployments to implement any version of the ZPU they want without running into commercial problems, but if improvements are done to the architecture as such, then they need to be contributed back.One strength of the ZPU is that it is tiny and therefore
zpu-the worlds smallest 32 bit cpu with gcc toolch
|
code | Sep 14, 2009 | Other | Stable | Unknown |
SYSTEM ON CHIP | |||||
system on chip mpliant: NoLicense: LGPLDescriptionSingle channel 32 or 64 bit AHB master DMA core. Supports simultaneous read and write, command lists, peripheral control, timeouts and endianess swapping. Based on Provartec PR201http://www.provartec.com/ipproductsRelated projectsGeneric AHB matrixhttp://opencores.org/project,robust_ahb_matrix
ahb dma 32/64 bits
|
code | Jun 25, 2013 | Verilog | Mature | LGPL |
system on chip esign doneWishBone Compliant: NoLicense: LGPLOther project propertiesCategory :: SoCLanguage :: VHDLLicense :: LGPLDevelopment status :: Production/StableFeatures- AMBAtm specification compliant (rev 2.0)- unified approach to multi-layer, lite and full amba systems- programmable arbiter- "template" master with programmable internal fifo and read/write latencies- "template" slave with simple default behaviour (i.e. no "split/retry" responses)- GUI for start-up- testbench generation specific to the created system- check of connection correctnessDescriptionThe intention is to provide an easy way
ahb system generator
|
code | Mar 31, 2013 | VHDL | Stable | LGPL |
system on chip done,FPGA proven,Specification doneWishBone Compliant: YesLicense:DescriptionAHB Protocol to Wishbone Protocol Bridge.Features- AHB 2.0 compliant- Wishbone B.3 compliant- AHB Burst NOT SUPPORTED- Fully synthesisable- Synchronous- Verilog RTL- Includes a Verilog Testbench with 10 TestcasesStatus- RTL : Complete- Testbench : Complete- Document : Complete
ahb to wishbone bridge
|
code | Dec 20, 2009 | Verilog | Mature | Unknown |
system on chip Bone Compliant: YesLicense: BSDDescriptionThe OpenCores aoOCS SoC is a Wishbone compatible implementation of most of the Amiga Original Chip Set (OCS) and computer functionality.aoOCS is not related in any way with Minimig - it is a new and independent Amiga OCS implementation.IntroductionJuly 2011: Project copied to (https://github.com/alfikpl/aoOCS). Further development of aoOCS will continue on github.FeaturesThe aoOCS SoC contains the following Amiga/OCS components:blittercoppersystem control (interrupts)video: bitplains, sprites, collision detectionaudio: 4 channels, low-pass filteruser i
aoocs-wishbone amiga ocs soc
|
code | Aug 4, 2011 | Verilog | Beta | BSD |
system on chip ant: NoLicense:DescriptionA open source ARM vhdl model.The annotated vhdl source can be browsed here:http://cfw.sourceforge.net/build_html/vhdl/index.htmFeaturesNote: This version (0.8) is still beta. Until we switch to release the arm iu will not be fully functional. Until then you are welcome to join development. Testers, coders, moderators, freaks, jobless and nerds are welcome (professionals are tolerated).- ARM instruction set- Processor frameworkStatus- Version 0.8 Beta
arm core
|
code | Dec 20, 2009 | VHDL | Beta | Unknown |
system on chip cation doneWishBone Compliant: NoLicense: LGPLDescriptionHere is proposed a method to implement short structured programs inside an FPGA. The novelty of the proposed method resides in that the commands that constitute the executable program are defined directly by the user in VDHL code. Applying this method, the resolution of a problem can be partitioned in two: on the one hand, the complex hardware functions can be implemented by the VHDL definitions, while, on the other hand, the higher level take of decisions, loops, iterations and conditional branching or testing can be assumed by the exe
assembler with vhdl user-defined commands avuc
|
code | Dec 20, 2009 | VHDL | Beta | LGPL |
system on chip proven,Design done,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionAsynchronous Spatial Division Multiplexing Router for On-Chip NetworksVersion: 0.2On-chip networks or networks-on-chip (NoCs) are the on-chip communication fabric forcurrent and future multiprocessor SoCs (MPSoCs) and chip multiprocessors (CMPs).Compared with synchronous NoCs, asynchronous NoCs have following benefits:* Tolerance to all kinds of delay variations caused by process, power and temperaturevariations.* Low transmission latency.* Zero dynamic power when idle.* Unified sync/async interface and easy clo
async-sdm-noc
|
code | Jun 8, 2011 | Verilog | Stable | LGPL |
system on chip mpliant: NoLicense: LGPLDescriptionSingle channel 32 or 64 bit AXI master DMA core. Supports simultaneous read and write, outstanding AXI commands, command lists, peripheral control, timeouts and endianess swapping. Based on Provartec PR200http://www.provartec.com/ipproductsRelated projectsGeneric AXI interconnect fabrichttp://opencores.org/project,robust_axi_fabric
axi dma 32/64 bits
|
code | Apr 29, 2011 | Verilog | Mature | LGPL |
system on chip ,FPGA provenWishBone Compliant: NoLicense: LGPLDevelopment StatusThis core has been verified with ModelSim and Quartus SignalTap II, using basic directed testcases as well as coverage-driven constrained random verification techniques. I would like to increase the test coverage in future. I also plan to add hardware results from Xilinx ChipScope, as well as simulation results from other simulators as well. If you have simulated or verified this core, please let me know how this core works with your toolchain. I believe Aldec ActiveHDL/Riviera Pro and Synopsys Synplify should have no problems, b
axi4 transactor and bus functional model
|
code | Apr 19, 2014 | VHDL | Beta | LGPL |
system on chip ompliant: NoLicense: GPLOverviewThis is a lecture about designing a SoC in VHDL.Everything runs under Linux - no more Windows!Check it out and then start at the file named index.html.Enjoy!
cpu lecture
|
code | Apr 13, 2011 | VHDL | Stable | GPL |
system on chip hBone Compliant: NoLicense: BSDCurrent stable version/eco32/tags/eco32-0.26DescriptionThe ECO32 system is a microprocessor system-on-chip, consisting ofa 32-bit CPU and several controllers for peripheral devices (keyboard,character display, timer, serial line, SDRAM, Flash-ROM, IDE disk).The ECO32 CPU is a simple 32-bit RISC processor with an instructionset loosely modelled after MIPS, currently without floating pointinstructions. We want to execute some flavour of UNIX on it, so ithas got two operating modes (kernel/user) and a memory managementunit (paging with TLB support). The processor wa
eco32
|
code | Mar 19, 2015 | Verilog | Alpha | BSD |
system on chip ompliant: NoLicense:DescriptionEmbedded 32-bit mini RISC uProcessor project with SDRAM controller will develope a basic block IP (Intellectual Properties) for designing a complete SOC (System On a Chip) system. Today almost in every Advanced Digital products you will find a few uController or uProcessor. Both of these cathegories needs a processing power to recieve some sord of input or data and needs to process it for the end application or perhaps to store the input/data. In order for the system to process or perhaps store the incoming data it needs to distinguish between the incoming comman
embedded 32-bit risc uprocessor with sdram control
|
code | Mar 13, 2011 | Unknow | Planning | Unknown |
system on chip NoLicense:Architecture DescriptionField-Programmable Gate Arrays (FPGAs) are flexible and reusable high-density circuits that can be (re)configured by the designer, enabling the VLSI design/validation/ simulation cycle to be performed more quickly and cheaply.The flexibility provided by FPGAs cause a substantial performance penalty due to non-specialized circuit design and signal delay through the programmable routing resources, compared do ASIC designs but FPGAs are still 1000 times faster than circuit simulators.This core provides plural of high-speed reprogrammable logic. This FPGA
embedded fpga core
|
code | Dec 20, 2009 | Unknow | Planning | Unknown |
system on chip shBone Compliant: NoLicense: LGPL
epc rfid transponder
|
code | Dec 20, 2009 | VHDL | Alpha | LGPL |
system on chip fication doneWishBone Compliant: NoLicense: LGPLDescriptionA simple 16-bit microprocessor together with a simple bus system. It utilises the Xilinx dual port ram features to be able to fetch instructions and use data memory at the same time. It is defined using RISC principles, featuring a small instruction set and overlapping execution. It is not completely pipelined. A first estimate gives a CPI of 2.2. Using a small memory a clock speed of 160 MHz is achievable on a Spartan-6 device with speed grade 2. Using larger memory will slow down the system due to net delays. The goal is to always re
experimental unstable cpu
|
code | Jan 25, 2015 | VHDL | Alpha | LGPL |
system on chip A provenWishBone Compliant: YesLicense: OthersDescriptionThe GECKO system is a general purpose hardware/software co-design environment for real-time information processing and/or system-on-chip (SoC) solutions. The GECKO system supports a new design methodology for system-on-chips, which necessitates co-design of software, fast hardware and dedicated real-time signal processing hardware.This is now the third generation of these boards, so the current project is called GECKO3.All GECKO3 system components can be stacked together, as they all have a common backbone bus connector and all have the
gecko3 soc co-design environment
|
code | Mar 16, 2012 | VHDL | Stable | Others |
system on chip liant: NoLicense: OthersDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
gecko4 soc co-design environment
|
code | Apr 7, 2011 | VHDL | Planning | Others |
system on chip shBone Compliant: NoLicense: LGPLDescriptionGeneric AHB matrix. It is a multi-master, multi-slave non-blocking AHB matrix with round-robin arbitration. Builds Verilog AHB matrices according to input parameters: master number, slave number, data bits, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatoolsRelated projectsGeneric AHB master stubhttp://opencores.org/project,ahb_masterGeneric AHB slave stubhttp://opencores.org/project,ahb_slave
generic ahb matrix
|
code | Sep 21, 2013 | Verilog | Alpha | LGPL |
system on chip Compliant: NoLicense: LGPLDescriptionGeneric APB register file generator. Creates Verilog source, C header file and HTML documentation, from an Excel worksheet. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatoolsRelated projectsGeneric APB master stubhttp://opencores.org/project,apb_mstrGeneric AXI2APB bridgehttp://opencores.org/project,robust_axi2apb
generic apb register file
|
code | Mar 16, 2015 | Verilog | Mature | LGPL |
system on chip ishBone Compliant: NoLicense: LGPLDescriptionGeneric AXI interconnect fabric. It is a multi-master, multi-slave non-blocking AXI fabric with round-robin arbitration. Builds Verilog AXI interconnects according to input parameters: master number, slave number, AXI IDs, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatoolsRelated projectsGeneric AXI master stubhttp://opencores.org/project,axi_masterGeneric AXI slave stubhttp://opencores.org/project,axi_slave
generic axi interconnect fabric
|
code | Jun 12, 2015 | Verilog | Stable | LGPL |
system on chip one Compliant: NoLicense: LGPLDescriptionGeneric AXI to AHB bridge. Built according to input parameters: AXI command depth, data bits, etc. Supports error on illegal AHB bursts and AHB slave error. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic axi to ahb bridge
|
code | Jun 2, 2012 | Verilog | Alpha | LGPL |
system on chip one Compliant: NoLicense: LGPLDescriptionGeneric AXI to APB bridge. Builds design according to required number of slaves, address decoding, AXI command depth, etc. Supports decode error, APB response delay and slave error. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic axi to apb bridge
|
code | Apr 19, 2011 | Verilog | Alpha | LGPL |
system on chip ovenWishBone Compliant: YesLicense: LGPLDescriptionShort: virtually convert an I2C slave into a WISHBONE slaveThis is a wrapper for the I2C controller core by Richard Herveille (http://opencores.org/project,i2c)which transparently converts a WISHBONE transaction into an I2C operation.Example: a WB read/write of the WB address 09h of this core would schedule and execute the (long) list of commands needed to make an I2C read/write of reg 09h of an I2C device connected to the I2C controller core in OpenCores, and return the result transparently into the WB bus.IMPORTANT: The current wrapper is fo
i2c controller wishbone wrapper
|
code | Dec 20, 2009 | VHDL | Stable | LGPL |
system on chip proven,Specification doneWishBone Compliant: NoLicense: GPLlayer[2] SoCComponentsThe following components are implemented and tested on silicon:MIPS I(tm) CPU @ 50MHzIntel StratFlashPS/2 Keyboard100x37 8-Color Text-VGA19200/8N1 RS-232 Receiver/Transmitter512 MBit DDR RamThe picture to the left shows the start-up screen. With "void Bootloader" you can upload program images to the flash and run then on the DDR.An example program is "Tennmino" a tetris clone for layer[2].AcknowledgementGeneral NoticeEvery component consists of an implementation and an interface file i*.vhd where I credited (hopef
layer2
|
code | Jul 16, 2012 | VHDL | Beta | GPL |
system on chip FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project demonstrates the use of the P16C5x soft-processor core, found elsewhere on opencores.org, in a system-on-chip. The project targets a small FPGA, the Xilinx XC3S50A-4VQ100I. The project integrates the P16C5x PIC-compatible processor core an SPI Master module, SPIxIF, a Synchronous Serial Peripheral (SSP) slave module, SSP_Slv, an SSP UART, SSP_UART, and an inferred 4096 x 12 Block RAM program memory. (The SPIxIF, SSP_Slv, and SSP_UART modules are all modules that can be found on opencores.org.)The P16C5x module is a PIC-compa
m16c5x
|
code | Nov 10, 2014 | Verilog | Stable | LGPL |
system on chip FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThe Minimal OpenRISC System on Chip is a system on chip (SoC) implementation with standard IP cores available at OpenCores. This implementation consists of a standard project comprehending the standard IP cores necessary for a SoC embedding the OpenRISC implementation OR1200.This project idea is to offer a synthesizable SoC which can be uploaded to every FPGA and be compatible with every FPGA board without the requirement of changing its code. In order to deliver such a project, the project has been based on a standar
minsoc
|
code | Apr 20, 2013 | Verilog | Stable | LGPL |
system on chip NoLicense:DescriptionThis project is to implement an MP3 decoder in VHDL in terms of MPEG-1 layer3 standard. It is composed of all the components of MP3 decoding process. MP3 bitstreams can be fed into the input module of MP3 decoder and a decoded pcm output file will be produced when the MP3 decoding process is completed.Features- Code is written in VHDLStatus- Verified in simulation using Modelsim
mp3 decoder
|
code | Sep 23, 2010 | Unknow | Alpha | Unknown |
system on chip gn done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionPC AT SoC based on Next186 core. CPU runs at 33 MHz (up to 33 MIPS), 64MB of dynamic RAM, DOS6.22.Able to run DOS 8086, 80186 applications (most of 80286 applications/games are running ok). May run real mode 32bit 386 applications with a 32bit software extender (see EMU386).Implementation done and tested on Xilinx Spartan3AN evaluation board (with Xilinx ISE 14.5), occupying ~50% FPGA resources.Video modes available: 80x25x256 text, 320x200x256 MCGA graphic, 640x480x256 VESA VBE mode 101h.PS2 8042 controller fo
next186 soc pc
|
code | Jan 7, 2015 | Verilog | Stable | LGPL |
system on chip Compliant: NoLicense: LGPLDescriptionThis is an evolution of my previous project, Next186SoC PC, able to play MP3 files in real time (any bitrate).It is written in Verilog, and it contains all the features of Next186SoC PC, plus a few more.ContentsThis is a PC SoC able to run 16bit DOS. It features the following elements:- a 80186 compatible CPU, running at 40MIPS (Netx186)- 16KB of cache: 4-way set associative- SDRAM interface (up to 64MB of SDRAM supported, through EMM and XMM)- High Memory Area (HMA) useable in DOS- Text mode, EGA(320x200x16), VGA(640x480x16, 320x200x256, ModeX), VESA(640x
next186mp3
|
code | Mar 10, 2015 | Verilog | Stable | LGPL |
system on chip pment status:MatureAdditional info:FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionThis project aims to present an open-source, platform independent MPSoC, targeting FPGA implementation.The NoC based MPSoC is generated by connecting the processing tiles via a low latency NoC network. A processing tile includes one aeMB processor, RAM, NoC interface adapter, and other optional peripheral devices such as GPIO, timer, external interrupts and interrupt controller. All components inside a tile are connected using wishbone bus. The processing tiles can have different number of peripheral d
noc based mpsoc
|
code | Apr 19, 2014 | Verilog | Mature | LGPL |
system on chip liant: NoLicense: LGPLNoC(Network-on-Chip)NoC(Network-on-Chip)Features-Maximum 4 by 4 Tiles-The synchronizing FIFO-Wormhole routing-Virtual channel(3 stage buffer)-User reconfigure PE(Processing element)'s program
nocnetwork-on-chip simulator
|
code | Nov 9, 2009 | SystemC | Planning | LGPL |
system on chip one Compliant: NoLicense: GPLDescriptionA Network on Chip Emulation Tool, NoCem is a body of VHDL code configurable by a toplevel package file that can create a variety of Network on Chips on parameters of datawidth, virtual channel implementations, topology, and in-network buffering lengths. Once parameterized, the resulting NoC is generated automatically with heavy use of VHDL generics and generate statements.author - Graham SchelleFeatures- synthesizable VHDL code for network on chip creation- FPGA implemented- tested/verified- virtual channel implementation option- processor bridge for Xil
nocem -- network on chip emulator
|
code | Feb 1, 2013 | VHDL | Stable | GPL |
system on chip liant: NoLicense: LGPLDescriptionNoCmodel is a Python module for Network-on-Chip modeling, with add-ons for simulation (functional or RTL) and code generation (initially VHDL).Based on Python language, this module provides a framework for generic modeling of a NoC (IP Core nodes, routers, or channels), and provides some add-ons that extends the model to support design features like functional simulation, RTL simulation, VHDL code generation, etc.NoCmodel is based on NetworkX (http://networkx.lanl.gov) for graph modeling, and MyHDL (http://www.myhdl.org) for simulation support.
nocmodel
|
code | Mar 4, 2011 | Other | Alpha | LGPL |
system on chip WishBone Compliant: NoLicense:DescriptionThe OpenFire soft processor is an open source Verilog implementation of the Xilinx MicroBlaze. Like the MicroBlaze, the OpenFire is a 32-bit RISC processor based on the DLX architecture by Hennessy and Patterson. The OpenFire was developed by Stephen Craven specifically for configurable array research. As such, certain features of the MicroBlaze are not currently implemented. The OpenFire lacks interrupts, exceptions, debugging facilities, and Local Memory Bus and On-chip Peripheral Bus interfaces. These functions may be added in the future. The page of
openfire
|
code | Jun 11, 2012 | Verilog | Beta | Unknown |
system on chip Compliant: NoLicense: GPLStatusProject is alive of 17th May 2010. Please try, find bugs, report and develop. We have enormous amount of TODO (see the bugtracker) so each developer is welcome.DescriptionOpenSPARC-based SoC is a project aimed to create a SoC based on OpenSPARC cores (T1 and T2) with OpenCores and other open-source peripherals added, and having Linux/OpenSolaris running on it.AchievementsMain success now is a OS2WB module that bridges the T1 core and FPU to Whishbone bus. With the other obvious components (DRAM, flash, UART) it is able to boot Ubuntu Linux 2.6.22 normally and 2.6
opensparc-based soc
|
code | Oct 13, 2011 | Verilog | Alpha | GPL |
system on chip nWishBone Compliant: YesLicense:OverviewThis project is an example of using OpenRISC on an Terasic DE1 development board. UBoot has been ported. The old ecos 2.0 has been ported to ecos 3.0. The adv_debug_sys unit was integrated but not tested.
or1200_soc
|
code | Feb 27, 2010 | Verilog | Beta | Unknown |
system on chip : NoLicense: LGPLDescriptionPDP-1 reimplementation using an FPGA. The goal is to run old software like Spacewar!, the music compiler, and Expensive Typewriter on current FPGA hardware. Initial platform is a Spartan 3A starter kit.For more information on the original machine, seehttp://pdp-1.computerhistory.org/pdp-1/
pdp-1 reimplementation
|
code | Feb 23, 2011 | VHDL | Alpha | LGPL |
system on chip fication doneWishBone Compliant: YesLicense:DescriptionThis is is a bridge IP core to interface the Tensilica PIF bus protocol with the OpenCores WishBone. It currently supports single-cycle as well as burst transfer operations. The core has been tested in a master-PIF slave-WB configuration.Features- PIF master support- Wishbone slave support- Burst transfers support- VHDL RTL- Fully synthesisableStatus- RTL: Complete- Document: Complete
pif2wb
|
code | Aug 7, 2007 | VHDL | Beta | Unknown |
system on chip provenWishBone Compliant: YesLicense:PLBv46 to Wishbone BridgeThis is a simple CoreConnect PLBv46 to Wishbone bridge that can allow Wishbone peripherals to be used on Xilinx processor designs. It conforms to the sub-set of the PLBv46 specification adopted by Xilinx in the EDK.Features- PLBv46 Slave Attachment (non bursting)- 32-bit interface to PLBv46 bus.- 32-bit interface to Wishbone bus.- Supports- Handling of Retries.- User can set the retry wait time.- User can set number of times to retry- Result of unsuccessful retry is a PLBv46 bus error ack.- Handling of Bus Errors- User can set how
plbv46 to wishbone bridge
|
code | Mar 5, 2010 | VHDL | Beta | Unknown |
system on chip tus:MatureAdditional info:WishBone Compliant: NoLicense: LGPLINFOThe project is RT level design of image component labeling and feature extraction. The design is captured in VHDL. The architecture is parallel and pipelined.The project is posted under LPGL license. User need to give reference to the publishes work when used in design.Hardware Architecture for Real-time Component Feature Descriptors on a FPGAAbdul Waheed Malik, Benny Thrnberg, Najeem Lawal, Muhammad Imranpublication in Internal Journal of Distributed Sensors, special issue onRecent advances in Wireless Visual Sensor Network (WVS
real-time image processing
|
code | Sep 28, 2014 | VHDL | Mature | LGPL |
system on chip nt: NoLicense: GPLrfid tag and readerVerilog models of RFID card / reader.Features- hash lock- blinded anticollisionStatus- implemented Stephen Weis RFID tag modelhttp://theory.lcs.mit.edu/~cis/theses/weis-masters.pdf- it's bad but no good reader model yet (need help)
rfid tag and reader
|
code | Dec 27, 2011 | Verilog | Beta | GPL |
system on chip :WishBone Compliant: YesLicense: LGPLDescriptionThis is a complete system-on-a-chip.Developed on a Diligent Spartan3e board, the SOC includes CPU (TG68), bitmap and text displays, PSG, keyboard and more.Features52x31 text display416 x 262 bitmapped graphics displayline draw accelerator8 sprites4 channel ADSR PSG (not working at the moment)random number generatorPS2 compatible keyboard interfaceTG68 cpuRS232 interface UARTOverviewThe SOC make use of the TG68 cpu core available at OpenCores.org. This fine core implements the MC68000 instruction set.The Nexys Epp memory controller available on t
rtf68ksys
|
code | Sep 25, 2011 | Verilog | Alpha | LGPL |
system on chip liant: NoLicense: GPLEmbedded MIPS R2000It's a synthesizable parametric IP core of 32 bit RISC processor supporting full MIPS R2000 ISA, by using SystemC HDL. An optional CP0 coprocessor implementing full exception handling was also modelled. Also 64 bit pipeline multiplier supporting is optional, and pipeline depth is configurable.Features- feature1- feature1.1-feature1.2-feature2StatusSome bugs was fix.-> correct bug when intterupt occur during MFLO and MFHI instruction.Now I'm working on the CP0status 2
sardmips
|
code | Feb 9, 2006 | Other | Alpha | GPL |
system on chip e Compliant: NoLicense: LGPLDescriptionSimpCon is a specification for a simple and efficient system-on-chip (SoC) interconnect. SimpCon provides single cycle commands and provisions for pipelining of read and write connections. SimpCon is public domain and freely available.Translation to and from Wishbone, the opencores standard interface, are provided.Documentation is in the CVS athttp://www.opencores.org/cvsweb.cgi/~checkout~/simpcon/doc/simpcon.pdfA paper published at the Austrochip on SimpCon is available from:http://www.jopdesign.com/doc/simpcon_austrochip2007.pdfFeatures- Synchronous int
simpcon-a simple soc interconnect
|
code | Nov 13, 2007 | Unknow | Stable | LGPL |
system on chip : NoLicense: GPLDescriptionSoft Multiprocessor on FPGA is becoming more attractive as the design cost and NRE soaring up in deep-submicron age, especially for high performance computing applications. However, it becomes time consuming and error prone to design multiprocessor as the number of processors grows quickly. To make it easier, I am going to design a tool (BlazeCluster) to generate multiprocessor architecture on FPGA consisting of Xilinx microblaze, PowerPC and open source processor cores from a simple, top-level script.The tool is written in Perl. On most of Linux installations, the
soft multiprocessor on fpga
|
code | Mar 12, 2008 | VHDL | Beta | GPL |
system on chip hBone Compliant: YesLicense: GPLDescriptionWelcome to theSTORM SoCproject!This is an FPGA/evaluation board-independent, complete system on chip implementation based on the STORM CORE processor.Most of the SoC's components were designed by myself, but the are also some IPs used, which are available here at oppencores.All components are connected via an 32-bit, pipelined Wishbone bus fabric.The boot ROM features a pre-installed bootloader, which allows easy program downloading to RAM or to an attached IC EEPROM.It is also capable of booting program files directly from the EEPROM.Compatible progr
storm soc
|
code | Mar 14, 2014 | VHDL | Beta | GPL |
system on chip ification doneWishBone Compliant: NoLicense:System-on-Chip Wire (SoCWire)SoCWire has been developed by IDA, Technical University Braunschweig. It is a Network-on-Chip (NoC) approach based on the ESA SpaceWire interface standard to support dynamic reconfigurable System-on-Chip (SoC). SoCWire has been developed to provide a robust communication architecture for the harsh space environment and to support dynamic partial reconfiguration in future space applications.SoCWire provides: Reconfigurable point-to-point communication High speed data rate Hot-plug ability to support dynamic reconfigurable
system-on-chip wire socwire
|
code | Jun 25, 2010 | VHDL | Beta | Unknown |
system on chip Bone Compliant: NoLicense: GPLDescription6805 compatible CPU Core. Does not have any of the standard 6805 on chip peripherals at this stage other than the parallel I/O port.This was the first of the 68xx processors I attempted and have only just got around to completing it..The Home Page for the project isHereFeatures- 6805 compatible core- 4 x 8 bit Parallel I/O ports- Dual 8 bit Timer- MiniUART compatible with 6850 ACIA.- Runs with an E clock of 12.5MHz and system clock of 25MHzStatus- Prints out "Hello World" and waits for an input character- Implemented on B5-X300 Spartan 2e board-
system05
|
code | Apr 7, 2008 | VHDL | Beta | GPL |
system on chip rovenWishBone Compliant: YesLicense:wishbone_and_wishbone->opb_bus_interface_wrappers">OPB ->WISHBONE and WISHBONE->OPB bus interface wrappersOPB Bus to WISHBONE bus and WISHBONE bus to OPB bus interface wrappers.The Interface wrappers are provided as a plug-in for Xilinx EDK. To install, untarr the dowloadable archive in $EDK_ROOT/hw/XilinxProcessorIPLib/pcores/.You have to restart XPS to see the new wrappers.this_ip_core_is_provided_by: ">This IP Core is provided by:www.ASICS.ws - Solutions for your ASIC/FPGA needs -StatusThis project is Done
wb/opb and opb/wb interface wrapper
|
code | Sep 12, 2004 | Other | Stable | Unknown |
system on chip Compliant: YesLicense:To do- add verilog outputKnown errors- when data bus size is 8 bits the script generates wishbone sel signals which are of no useFeatures- GUI for easy startup- supports both shared bus and csorrbarswitch topologyStatus- design tested in HDL simulator and in FPGA (ALTERA C12)- current design only support VHDL outputDescriptionThe intention is to provide an easy way to create and change a system based on the WISHBONE bus. The user shall be able to try different configurations to achieve an area/performance optimized design.WISHBONE builder is a script which generates a wi
wishbone builder
|
code | Oct 3, 2014 | Verilog | Stable | Unknown |
system on chip nt: YesLicense:DescriptionThis is a WISHBONE Interconnect ShareBus IP core.It can interconnect up to 8 Masters and 8 SlavesSome of the main features are:Up to 8 MastersUp to 8 SlavesOnly 1 priority level processed in a round robin wayFeatures- feature1- feature2Status- 4/19/2003 Initial Release
wishbone conbus ip core
|
code | Aug 3, 2012 | Unknow | Stable | Unknown |
system on chip Compliant: YesLicense:DescriptionThis is a WISHBONE Interconnect Matrix IP core.It can interconnect up to 8 Masters and 16 SlavesSome of the main features are:- Up to 8 Masters- Up to 16 Slaves- 1, 2 or 4 priority levels- Fully configurableIMAGE: conmax.jpgFILE: conmax.jpgDESCRIPTION:Example SoC with the CONMAX IP CoreStatus- October 2002, Maintenance update: Fixed a typo in parameter passing and in the specification- May 2002. Several users of the core have reported that the core performs as specified. Project is now considered completed.- 10/19/2001 Initial Release.- I will post a message t
wishbone conmax ip core
|
code | Feb 10, 2004 | Verilog | Stable | Unknown |
system on chip pliant: YesLicense:DescriptionThis is a simple DMA/Bridge IP core. It has two WISHBONE interface. It can perform DMA transfers between the two interfaces or on the same interfaces.Some of the main features are:- Up to 31 DMA Channels- 2, 4 or 8 priority levels- Linked List Descriptors support- Circular Buffer support- FIFO buffer support- Software & Hardware handshake support- Automatic Channel Registers Reload support- Fully configurablePlease see the spec for more details !Status- 8/2/2001 Added another feature: Now you can backoff to the beginning of the current transfers (this is usefu
wishbone dma/bridge ip core
|
code | May 22, 2007 | Verilog | Stable | Unknown |
system on chip gn doneWishBone Compliant: YesLicense: LGPLDescriptionAre you using Wishbone, do you need some simple 'slaves' to test your bus with ?Well, the Wishbone spec, appendix B3, has VHDL examples of Wishbone outports, and memories.This is the code from B3 ! saves one copying the PDF each time.Features- Can be simulated and can be synthesised.StatusSimulated in XST 9.2 sp 4Synthesised to Spartan FPGA.
wishbone out port from b3 spec
|
code | Jan 29, 2008 | VHDL | Stable | LGPL |
system on chip WishBone Compliant: YesLicense:DescriptionA Wishbone SoC of a 6800/01 CPU based projectFeatures- Motorola 6800/01 'instruction set' CPU CORE (Object code compatable)- RMCA01 - Relocatable Macro Cross Assembler included (Shareware by M. Hasenfratz)- Tested on Altera Apex20K, Cyclone and Stratix developement boards (NIOS Kits)- All system components have Wishbone Interfaces:- 6800/01 CPU (Core by John Kent)- miniUart/ACIA- miniUart/SCI- Timer / Counter- Programmable I/O (PIO)- 128byte RAM [Note: uses Altera LPM_RAM encapsulated in WishBone I/F]- 2KB ROM with debug monitor [Note: uses Altera LPM
wishbone system6800/01
|
code | Mar 10, 2004 | VHDL | Stable | Unknown |
system on chip provenWishBone Compliant: YesLicense:WISHBONE Protocol to AHB Protocol Bridge.Features- AHB 2.0 compliant- Wishbone B.3 compliant- WISHBONE Burst NOT SUPPORTED- Fully synthesisable- Synchronous- Verilog RTL- Includes a Verilog Testbench with 9 TestcasesStatus- RTL : Complete- Testbench : Complete- Document : Complete
wishbone to ahb bridge
|
code | Feb 17, 2015 | Verilog | Stable | Unknown |
system on chip sLicense:DescriptionWhisboneTK is a set of IP cores designed to be compatible with theWishbonebusspecification. The members of the tool-kit are general purpose building-blocks that (hopefuly) make designing Wishbone compatibledevices easier. The elements in the libarary are avaliable free for any kind of use .The parts in the library use anextended signal-setthan defined in the Wishbone interface.By moving all technology-specific code to a different, underlying package, the toolkit is fairly easy to port to other technologies.Currently Xilinx (XST) is the supported and tested platfor
wishbonetk toolkit
|
code | Jun 4, 2008 | Unknow | Beta | Unknown |
system on chip ant: NoLicense:Z80 System on ChipSystem on chip, based on T80 core.This project is a SOC designed for Altera DE1 development board and the Diligent Spartan 3E, and provide access to leds, switches, buttons, IO pins, SRAM, VGA, LCD and keyboard using Z80 assembly language.Comes with a reference ROM application that show how to access all resources on the board. Spartan 3E port provides easy access to the LCD (memory mapped, 32 bytes of ram).There are tools included in the project files to convert fonts (psf) to MIF and COE, and convert files containing hex codes in VHDL ROM files, what makes it
z80 system on chip
|
code | Nov 23, 2010 | VHDL | Stable | Unknown |
system on chip al info:WishBone Compliant: YesLicense: LGPLStatusThis project is in the early planning stage. I am collecting documentation for both busses and beginning to understand them, and refining the specifications. I'm collecting tools for design and test and preparing a development environment on my computer. I'm currently taking a VHDL course at university, and thus will plan to have both Verilog and VHDL versions of this bridge. Progress on this design will hopefully pick up this summer 2012.I intend to use as many freely available tools as possible, and will have some learning curve to be product
zorro bus to wishbone bridge
|
code | Mar 9, 2012 | Verilog | Planning | LGPL |
SYSTEM ON MODULE | |||||
system on module info:WishBone Compliant: NoLicense: LGPLDescriptionSystem-on-Module based on an ARM SoC in combination with an ALTERA FPGA. Focus for this module is connectivity, flexibilityand a high performance/price ratio.Form factorThe form factor for this module is 200 pin SO-DIMM.ConnectivityModule has a rich flavor of connectivity available.The ARM SoC from Micrel contains a manageable 4+1 port 10/100 Mbpsswitch. The switch has built-in Fast Ethernet PHY for this. Two port can optionally support 100FX.The swicth can be used in scenarios with 1 WAN port and 4 LAN ports.Connected over the KSZ8095P PCI b
som-arm9-cycloneivgx
|
code | May 29, 2012 | Verilog | Planning | LGPL |
SYSTEM CONTROLLER | |||||
system controller mpliant: YesLicense:DescriptionThis is a AC 97 Controller Core. It provides a an interface to an external AC 97 Audio Codec. This allows the implementation of CD quality Audio Input/Output.Features- AC97 Revision 2.2 Compliant- Variable and Fixed Sample Rate Support, up 48 kHz- 16, 18 and 20 bit Sample Size Support- 6 Channel Surround Sound Support- Stereo Input channel Support- Mono Microphone Channel Support- External DMA Engine Support- WISHBONE SoC host InterfaceStatus- 8/2/2001 New Directory Structure ! We have agreed on a common directory structure at OpenCores.- The AC97 Core is Done !-
ac 97 controller ip core
|
code | Jul 11, 2011 | Verilog | Stable | Unknown |
system controller Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis core is intended to be used as an interface between some functionality in an FPGA and an external microcontroller.The external microcontroller provides a simple 8bit interface to control some functions within the FPGA. These functions are communicating using a wishbone compatible bus within the FPGA.Features- 8 bit external interface to a simple parallel port of a regular microcontroller- two cycle external bus transfers: first address, then data- interrupt request flag- bidirectional external data port- wishbone compatible ma
external parallel port to internal wishbone master
|
code | Jun 26, 2008 | VHDL | Alpha | GPL |
system controller e Compliant: YesLicense:DescriptionThis is a advanced Memory Controller intended for embedded applications. Some of the features are:- SDRAM, SSRAM, FLASH, ROM and many other devices supported- 8 Chip selects, each uniquely programmable- Flexible timing to accommodate a variety of memory devices- Burst transfers and burst termination- Performance optimization by leaving active rows open- Default boot sequence support- Dynamic bus sizing for reading from Async. Devices- Byte parity Generation and Checking- Multi Master memory bus support- Industry standard WISHBONE SoC host interface- Up to 8 *
memory controller ip core
|
code | Jan 11, 2010 | Verilog | Stable | Unknown |
system controller YesLicense:DescriptionATA (AT attachment) interface core, also known as the IDE (Integrated Drive Electronics) interface.The ATA interface provides a simple interface to (low cost) non-volatile memories, like harddisk drives, DVD players, CD(ROM) players/writers and CompactFlash and PC-CARD devices.Status- Three cores are available in VHDL and Verilog from OpenCores CVS via cvsweb or via cvsget.- ToDo:- Write documentation- Start development of OCIDEC-4, featuring UltraDMA supportDevelopment goalsThe development of a range of software and function backward compatible cores with a growing set
ocidec opencores ide controller
|
code | May 6, 2015 | Unknow | Stable | Unknown |
system controller pliant: YesLicense:
pci bridge
|
code | Jul 4, 2006 | Verilog | Stable | Unknown |
system controller WishBone Compliant: NoLicense:pcie_vera_tbFEATURES 16 bit PIPE Spec PCI Express Testbench Link training Initial Flow Control Packet Classes for easy to build PHY,DLLP and TLP packets DLLP 16 bit CRC and TLP LCRC generation Sequence Number generation and checking ACK TLP packets Scrambling MemRd MemWr CfgRd CfgWr TLPsFunctional Description and BackgroundThis testbench has been designed to resemble the TI XIO1100 X1 PHY which has a 16 bit 125 MHz PIPE spec interface. This is a great PCI Express starter VERA testbench. It can easily be added to, to get the desired results. The testbench perfor
pci express x1 16bit vera testbench
|
code | Jan 15, 2008 | Other | Unknow | Unknown |
system controller ompliant: NoLicense: LGPLMotivationThere are already a few cores that translate PCI bus into Wishbone bus, but none of them really worked in my project. So I took the code of the project "pci_mini" and built my own core out of it. Thanks to the original authors of pci_mini!I'm quite new to VHDL, and this is my first big project. So I cannot guarantee that everything runs 100% smooth, but I try my best. :-)DescriptionThis core implements a 16 MB DWord-addressable memory image in the Wishbone bus (so WB width is 32 bit). Its functionality is reduced to the minimum which is required by the PCI sp
pci slave to wb master
|
code | Dec 6, 2011 | VHDL | Alpha | LGPL |
system controller n done,FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionThe PCI32tLite IP core provides the funtionality of a PCI TARGET. The core has been designed to permit interface between a PCI Master and simple WHISBONE Slaves, and fitting into smallest FPGA (about 200 LC's in ALTERA CYCLONE II FPGA).Whisbone databus size and endianess configurable: "BIG"/"LITTLE",32/16/8 bits.PCI memory or I/O map configurable.Uses BAR0 register; occupies 32Mbytes on PCI memory map or 512Bytes on PCI I/O map.StatusTested on HW:- ALTERA MAXII Kit.- XILINX Raggedstone1 board.- Other custom HW.PCI32TLITE_OC_HOWTO
pci target
|
code | Aug 24, 2009 | VHDL | Stable | LGPL |
system controller done,FPGA provenWishBone Compliant: NoLicense: LGPLOverviewThis package involves a PCIe Scatter-Gather DMA engine for Virtex5 and Virtex6.The design implements MAC, Physical (Xilinx Hard and Soft IP Cores) and Transaction Layer (Custom Core) of PCIe.It holds 3 BAR€™s, BAR[0], BAR[1] and BAR[2], as its memory space. Registers are accessed via BAR[0], including the system registers, DMA channel registers and some other control and status registers. Block RAM are assigned to BAR[1]. BAR[2] contains the FIFO data ports, both write and read. FIFO control and status registers reside in
pcie sg dma controller
|
code | Mar 26, 2012 | VHDL | Stable | LGPL |
system controller info:WishBone Compliant: YesLicense: LGPLDescriptionThe PCIe_DS_DMA core provides PCI Express controller for Xilinx HARD core for Virtex5, Virtex6, Spartan6 FPGA.Main featuresPCI Express 1.1 x1,x4,x8 or 2.0 x4two address space: BAR0, BAR1access to registers can only be single 32-bit instructionslocal bus: 64 bit, 250 MHztwo independent bidirectional DMA channelDMA channel only works in the SCATTER-GATHER modeThe minimum unit of data for channel DMA - 4 kBDescriptors combined into the block descriptors. The maximum number of descriptors in the block - 63DMA channel uses 40 bit addressesResourc
pcie_ds_dma
|
code | Apr 20, 2013 | Verilog | Alpha | LGPL |
system controller nfo:Design done,FPGA proven,Specification doneWishBone Compliant: YesLicense: LGPLDescriptionThis is a very simple PCI-target to Wishbone-master bridge.PCI-Target only, the bandwidth is quite low, fixed memory-image size (16MB), but it has a very low FPGA logic resource need.This is a single VHDL (old version was verilog) file, so easy to use.The original PCI module is from: Ben Jackson http:www.ben.com/minipci/verilog.phpRedesigned for wishbone : Istvan Nagy, Hardware Design Engineer. www.buenos.extra.huCode variants/versions:------------------------ Up till v3.3 (verilog) the code was tested
pci_mini
|
code | Aug 17, 2011 | Verilog | Stable | LGPL |
system controller Design done,Specification doneWishBone Compliant: NoLicense: BSDDescriptionLarge electronic systems often use multiple supply voltages that must come up and go down in a specified order. Also, it must be made sure that the system is not powered up only partly for a prolonged time. This power sequencer is composed of equal slices, one for each supply stage.
power supply sequencer
|
code | Apr 23, 2014 | VHDL | Beta | BSD |
system controller nt: NoLicense: LGPLDescription€œpic€? is a soft core, programmable interrupt controller which can be used as an interface between peripheral interrupt lines and processor IRQ line. One of the popular PIC available in market is Intel 8259. This core is not compatible with 8259. The core was designed based on my ideas of how a PIC operates and its requirements. The first version is a really basic core which can take 8 interrupts as input. The interrupt detecting methods currently supported are polling method and fixed priority method.A testbench code is provided with the core tes
programmable interrupt controller
|
code | Oct 27, 2010 | VHDL | Alpha | LGPL |
system controller al info:Design done,FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionrs232_syscon is a synthesizeable soft core that allows debugging of peripherals connected to a Wishbone type of bus. Specifically, it lets the user write and read registers, and send out reset pulses, via an rs232 serial connection to a "dumb terminal." (Such as windows "hyperterm"!) It is completely scalable through parameter settings, to accomodate address and data buses of any arbitrary size. Furthermore, the rs232_syscon module can share the Wishbone bus with the master (presumably a processor of some kind). It i
rs232 system controller
|
code | Jan 23, 2015 | Verilog | Stable | LGPL |
system controller e Compliant: NoLicense:DescriptionThis proyect is designed to adapt either a host system, or a perypherical controller system to a scsi bus.Also the chip is a DMA controller for a host, in cluding a SRAM controller and a 32 to 8 bits converter for transmit data between a processor and the SCSI bus.It is formed by 7 submodules that have specific functions that will be explain deeply later in this document.It can operate in anyone of three posible states : disconected, connected as a target or connected as an Initiator.The following is a summary of the SCSI protocol between host(initiator) and a
scsi_chip
|
code | Oct 8, 2008 | Verilog | Alpha | Unknown |
system controller : NoLicense:DescriptionThe Synchronous-DRAM controller core allows any synchronous bus masters, such as most Intel microcontroller and x86 processors, to effortlessly interface to a large capacity SDRAM as though it were an SRAM. The core supports PC100 timing specifications.By default the core is configured to work with 512K x 2 Bank x 32-bit SDRAMs such as Samsung KM432S2030CT and Fujitsu MB81F643242B. Easy modifications allows the core to work with different capacity SDRAMs. Most of the critical parameters are defines in a global include file allowing easy reconfigurability of the core.The
synchronous-dram controller
|
code | Oct 15, 2001 | Unknow | Alpha | Unknown |
system controller nfo:Design doneWishBone Compliant: NoLicense:DescriptionA project aimed at providing a DSP/FPGA based development board.Testing has begun, so far Power supplies, DSP, FPGAs have been proven to be 100% functional. Testing of the SDRAM and FLASH memories has be started and will require time for pattern read/write to be completed.If you are interested in this dev kit please contact me by my email address. I will be happy to call/email you back with more details. We have 3 more kits available, but will require assembling (typ. 2 weeks) before they can be shipped. We have not determined
ti dsp and xilinx fpga dev board
|
code | Apr 16, 2010 | Other | Stable | Unknown |
system controller ne,FPGA provenWishBone Compliant: YesLicense: LGPLOverviewLCD character display controller with Wishbone and memory mapped interfaces.It is compatible with the following parts: Sitronix ST7066U, Samsung S6A0069X or KS0066U, Hitachi HD44780 and SMOS SED1278.It's commonly used to drive several character displays integrated in popular Xilinx development boards such as Spartan 3E Starter Kit from Digilent.
wb lcd character display controller
|
code | Mar 14, 2009 | Verilog | Stable | LGPL |
TESTING/VERIFICATION | |||||
testing/verification PGA provenWishBone Compliant: NoLicense: LGPLTODOTo support Altera Qsys AXI4 Monitor IP integration.Tk GUIDescriptionA CPU-to-FPGA bus transaction monitor, captures the CPU write/read address/data to/from memory-mapped registers that resides in the FPGA, and transmits the captured information to PC through JTAG download cable attached to the FPGA.The detailed information about this low-level firmware debugger is published by the author on EDN.com as a Design Ideas article: Debug a microcontroller-to-FPGA interface from the FPGA side.The original source code accompanying this article is set as
bus transaction monitor with jtag
|
code | Feb 8, 2014 | Verilog | Beta | LGPL |
testing/verification ne Compliant: NoLicense: LGPL
constrained random test generator
|
code | Aug 14, 2012 | C/C++ | Planning | LGPL |
testing/verification ne Compliant: NoLicense: LGPLDescriptionDS1621 verilog model with testing tasks. Testing elements assume the existence of the low level write/read (need to be written by the user) and include the macro tasks based on that write/read tasks. A test with macros is included. Only the Slope and the Counter registers are not supported.
ds1621 model
|
code | Dec 20, 2009 | Verilog | Beta | LGPL |
testing/verification Bone Compliant: NoLicense: LGPLDescriptionEziDebug is an easy-to-use versatile logic simulation tool for verification and debugging of digital circuits. It supports inserting scan chains in projects. FurthermoreŒmore functions and characteristics will be opened. This manual is intended for users with no previous experience with EziDebug . It introduces you with the basic flow how to set up EziDebug. The example used in this tutorial is a small design written in Verilog and only the most basic commands will be covered in this manual. This manual was made by using Version 1.0 of EziDebug o
ezidebug
|
code | Jul 29, 2013 | C/C++ | Stable | LGPL |
testing/verification WishBone Compliant: NoLicense: LGPLDescriptionFor make stimulus of testbench some times need work with files from VHDL. I think that will be very good if some different stimulus will be in one place.
from and to files
|
code | Jan 14, 2010 | VHDL | Stable | LGPL |
testing/verification ishBone Compliant: NoLicense: LGPLDescriptionGeneric AHB master stub. Built out of an AXI master and an AXI2AHB bridge. Supports 32/64 data bits, AHB bursts and random wait-states. The design is built according to input parameters: address bits, data bits, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic ahb master stub
|
code | Apr 27, 2011 | Verilog | Alpha | LGPL |
testing/verification shBone Compliant: NoLicense: LGPLDescriptionGeneric AHB slave stub. Supports 32/64 data bits, AHB bursts and random wait-states. The design is built according to input parameters: address bits, data bits, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic ahb slave stub
|
code | Apr 27, 2011 | Verilog | Alpha | LGPL |
testing/verification hBone Compliant: NoLicense: LGPLDescriptionGeneric APB master stub. Based on an AXI master stub and an AXI2APB bridge. Supports both APB and APB3 protocols (APB3 is with pready and pslverr signals). The design is built according to input parameters: address bits, protocol type, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic apb master stub
|
code | Aug 3, 2014 | Verilog | Alpha | LGPL |
testing/verification shBone Compliant: NoLicense: LGPLDescriptionGeneric APB slave stub. Support both APB and APB3 protocols (APB3 is with pready and pslverr). Supports slave error, random and fixed wait-states. The design is built according to input parameters: address bits, protocol type, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic apb slave stub
|
code | Apr 22, 2011 | Verilog | Alpha | LGPL |
testing/verification ishBone Compliant: NoLicense: LGPLDescriptionGeneric AXI master stub. Supports multiple internal masters (multiple AXI IDs), 32/64 data bits, AXI bursts and random wait-states. The design is built according to input parameters: ID number, data bits, AXI command depth, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic axi master stub
|
code | Oct 21, 2013 | Verilog | Alpha | LGPL |
testing/verification shBone Compliant: NoLicense: LGPLDescriptionGeneric AXI slave stub. Supports 32/64 data bits, AXI bursts and random wait-states. The design is built according to input parameters: address bits, data bits, AXI command depth, etc. The source files are written in RobustVerilog, a free RobustVerilog parser can be downloaded fromhttp://www.provartec.com/edatools
generic axi slave stub
|
code | Apr 19, 2011 | Verilog | Alpha | LGPL |
testing/verification neWishBone Compliant: NoLicense: LGPLHASM DescriptionHASM is a simple instruction simulator for use in the verification of FPGA/CPLD designs that must attach to a processor bus. HASM is meant to attach to a bus model that mimics the device attached to the FPGA or CPLD under test. HASM can be used as though it were a processor within the simulation environment without the tremendous increase in simulation times due to the overhead involved in simulating a real processor.The HASM instruction simulator is comprised of two components: a Windows-based IDE and a VHDL module capable of reading the ve
hasm testbench vector generator
|
code | Oct 19, 2012 | VHDL | Stable | LGPL |
testing/verification e Compliant: NoLicense: BSDDescriptionThe project is intended for checking FPGA-based device for high consumption power.Number of parameter gives possibility to change number of used LC/DFF, DSP, RAM and I/O.It can operate at 200 MHz in Cyclone 5E FPGA.1 LC core is about 1500 LUT4/FF (with default parameters)1 DSP core is 7 DSP 18*18.Each LC core also demands 4*N RAM blocks (32 bits width).To maximize power consumption:1) Find parameters for maximum FPGA resource usage2) Fed maximum frequency clock to CLK input (directly or via PLL instantiated in top level)3) Fed random data to inputs (lower
high load configurable test project
|
code | Feb 19, 2015 | VHDL | Beta | BSD |
testing/verification shBone Compliant: NoLicense: LGPLDescriptioni2clcd is a minimalist i2clcd IP core that provides the basic framework for the implementation of custom i2clcd devices. The core provides a means to write up to 256 8-bit registers. These registers can be connected to the users custom logic, thus implementing a simple control and status interface. A full Icarus Verilog test bench is available. Test it for yourself, using the free Icarus Verilog simulator and the free GTKWave wave form viewer.Download and install Icarus Verilog. - Download and install GTKWave. - Download the project files. - For exec
i2clcd
|
code | Dec 20, 2009 | Verilog | Planning | LGPL |
testing/verification FPGA provenWishBone Compliant: NoLicense: BSDCurrent stable version/logicprobe/tags/LogicProbe-1.1DescriptionLogicProbe is a very simple logic analyzer which can be run onan FPGA in parallel with the "device under test". The analyzerhas a width of 128 data channels, and is 512 samples deep. Ithas a trigger (i.e., it starts catching the channels when thissignal got active once), and a sample enable (i.e., it does onlysample the channels when this line is 1). It uses the block RAMon the FPGA to store the samples in real-time. When the samplebuffer is full, it begins to transmit the samples throu
logicprobe
|
code | Dec 26, 2013 | Verilog | Stable | BSD |
testing/verification :WishBone Compliant: NoLicense: LGPLDescriptionThe Open JTAG project has as objetive to give to the public domain a complete hardware and software JTAG project. Based on a simple hardware board, composed basically by a FT245 USB front end and an Altera EPM570 MAX II CPLD, this board is capable to output TCK signal at 24 MHZ using macro-instructions sent from the computer end.It is not as others JTAG projects based on the PC parallel port: Open JTAG project uses the USB channel (still not at high speed) to communicate with the internal CPLD, sending macro-instruction as fastest as possible.You
open jtag project
|
code | Oct 22, 2010 | VHDL | Beta | LGPL |
testing/verification done,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionPlTbUtils makes it easy to create automatic, self-checking simulation testbenches, and to locate bugs during a simulation.It is a collection of functions, procedures and testbench components that simplifies creation of stimuli and checking results of a device under test.Features:Simulation status printed in transcript windows as well as in waveform window (error count, checks count, number and name of current test, etc).Check procedures which output meaningful information when a check fails.Clear SUCCESS/FAIL message at en
pltbutils
|
code | Feb 2, 2015 | VHDL | Beta | LGPL |
testing/verification Design done,FPGA provenWishBone Compliant: NoLicense: LGPL
prbs signal generator and checker
|
code | Dec 3, 2011 | Verilog | Stable | LGPL |
testing/verification rovenWishBone Compliant: YesLicense: LGPL
socgen
|
code | Dec 30, 2012 | Verilog | Beta | LGPL |
testing/verification info:FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionConverts Raspberry Pi into a JTAG programmer (STAPL protocol). Supports two JTAG chains through 26-pin RPi GPIO P1 connector. The TCK rate is ~1MHz. Works reliably for distance up to 1m, with LVDS level converter tested successfully for distance up to 15m.Ported from Actel STAPL Player v1.1, which is based on JAM STAPL Player v2.2.
staplplayer
|
code | Apr 4, 2015 | C/C++ | Stable | LGPL |
testing/verification one Compliant: NoLicense: OthersDescriptionThe SystemVerilog Directed Test Bench.This project contains an exact duplication of the VHDL Test Bench Package parser and usage model. This enables users to create a simple test environment for verification efforts using SV. This also enables scripts that were used on the VHDL system to be reused in a SV environment. (providing the same functionality is coded in the SV environment.)Current state is Beta, please report any problems to the bug tracking system so I can address issues.
systemverilog directed test bench
|
code | Aug 26, 2014 | Other | Beta | Others |
testing/verification roven,Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: BSDOverviewThe VHDL test bench is a collection of VHDL procedures and functions which allow the user to create their own scripting instructions for test stimulus. The stimulus script or test case contains the instructions in a regular ASCII text file. The function of the instructions is coded in VHDL as part of the test bench. The test bench VHDL package contains procedures to create instructions, read, parse and execute the test script (stimulus file, test case, script).-------------------------------------------
the vhdl test bench
|
code | Sep 4, 2014 | VHDL | Stable | BSD |
testing/verification one Compliant: NoLicense: LGPLDescriptionThis is a video pattern generator which can be used for testing video displays. It currently supports four patterns; horizontal lines, vertical lines, moving horizontal lines, and moving vertical lines. It sends out 1 pixel every clock cycle and forms the pattern on the fly using counters. This module has been used sucessfully to test a Camera Link serializer, SDXC Card video streamer and video rotator.
video pattern generator
|
code | Jun 12, 2013 | VHDL | Beta | LGPL |
VIDEO CONTROLLER | |||||
video controller Compliant: NoLicense: GPLDescriptionA hardware based system to decode JPEG baseline compressed image data. The different stages of the decoding process are implemented in a pipelined design described in VHDL. Running on a Virtex-II Pro FPGA at 100 MHz operation frequency. The pipelined structure allows for the processing of multiple image blocks simultanously. Thus, the decoder is prepared to decode MotionJPEG movies. Functionality of the system is demonstrated with a proof-of-concept hardware MotionJPEG video player application.Features- jpeg baseline decoding- mjpeg- display decoded data on
mjpeg decoder
|
code | May 30, 2010 | VHDL | Beta | GPL |
video controller Design done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project considers a hardware implementation of the CCITT group 4(also known as fax4 or tiff) compression algorithm written in vhdl. The design as it is available compresses camera data into tiff format and transmits over RS232 to a graphical client application developed in C++,Qt that stores the received tiff stream into a file and displays the image. The design is developed and tested on the Digilent Nexys2-1200(spartan-3E) and Atlys(spartan-6) board in combination with the Aptina MT9D131 Image Sensor Headboard.Future e
ccitt-g4tiff compression
|
code | Jan 20, 2014 | VHDL | Stable | LGPL |
video controller provenWishBone Compliant: NoLicense: LGPL
color converter
|
code | Feb 23, 2015 | VHDL | Stable | LGPL |
video controller Design doneWishBone Compliant: NoLicense: LGPLDescriptionPlease write a description of the project here. It is used as a MetaTag (search engines looks at this).
demosaic bilinear
|
code | Nov 20, 2012 | Verilog | Alpha | LGPL |
video controller ,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis core is part of theMilkymist System-on-Chip, the most advanced open source SoC for interactive multimedia applications.Minimal VGA framebuffer coreRGB565 16bppDirectly drives a 3x8-bit DAC and sync signals.Fully configurable timings and resolutionMultiple buffering support with buffer switch during the blanking interval to prevent tearing artifacts.Milkymist CSR and FML bus interfaces.Two asynchronous clock domains - VGA and system.Bit-banged DDC interface.More informationCore documentationCSR bus specificationsFM
fastmemorylink vga framebuffer controller
|
code | Aug 7, 2010 | Verilog | Stable | GPL |
video controller liant: YesLicense:DescriptionThis core is used to provide a wishbone compliant interface to a graphical LCD. Currently it supports the Crystalfontz CFAG12864 family which is based on the KS0108B controller.Other graphical LCDs may be supported at a later date.Features- Wishbone compliant- Interfaces with KS0108B graphical LCD controllerStatus- Sythesized and tested
graphical lcd interfaces
|
code | Aug 27, 2010 | Unknow | Beta | Unknown |
video controller sign done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis project is a group of hardware units that perform graphics algorithms.For testing purposes, beside the Units that perform these algorithms, there is a Frame Buffer that holds the image drawn and a Video Controller that outputs the image to a screen. In addition to the user interface which consists of switches and push buttons that selects the color, position, function performed, .. etc.Current StateTill now we have the Bresenham Line Drawing Algorithm.Due to the Limitations of the FPGA that I am working on, the Frame Buffe
graphics accelerator
|
code | May 20, 2011 | VHDL | Beta | LGPL |
video controller ishBone Compliant: NoLicense: GPLFeatures- Baseline JPEG encoder- Baseline JPEG decoder (Not ready yet)IntroductionThis is an open source JPEG codec, including both encoder and decoder (decoder is not ready yet), for embedded systems. It can be fully synthesized and implemented on FPGA. There is also a four-processor design based on ithttp://opencores.org/project,mpdma,mpdma20061023c.tar.bz2Different to a fully hardware implementation, this JPEG codec is designed based on Xilinx Microblaze processor with customized hardware accelerators. It is expected to achieve high flexibility, low complexi
jpeg codec library based on microblaze
|
code | Feb 16, 2011 | VHDL | Stable | GPL |
video controller PGA proven,Specification doneWishBone Compliant: NoLicense: LGPLNEWS21 AUG 2011New revision 70. contains new BUF_FIFO contributed Ahmet Tekyildiz which needs circa 9.5 line buffer but achieves performance very close to old design with ~16 lines (8 extra lines). So it heavily reduces on-chip RAM utilization without performance sacrifice.Also this version contains nearest integer rounding in DCT-2D instead of truncation when bit growth/precision is reduced. Truncation caused 8x8 block artifacts easily visible in very high quality modes (>95% quantization tables). Rounding alleviates this prob
jpeg encoder
|
code | Sep 25, 2014 | VHDL | Stable | LGPL |
video controller e Compliant: NoLicense: LGPLDescriptionThis core takes as an input the red, green, and blue pixel values, like from a tiff image file, and creates the JPEG bitstream necessary to build a jpeg image. The core was written in generic, regular Verilog code that can be targeted to any FPGA. The core does not rely on any proprietary IP cores, instead all of the functions required to implement the JPEG encoder are written in Verilog and the code is entirely self-contained. This core has been simulated on many raw images with different quantization and Huffman tables.
jpeg encoder verilog
|
code | Mar 17, 2012 | Verilog | Alpha | LGPL |
video controller A proven,Specification doneWishBone Compliant: NoLicense:DescriptionThis project features a complete JPEG Hardware Compressor (standard Baseline DCT, JFIF header) with 2:1:1 subsampling, able to compress at a rate of up to 24 images per second at the maximum resolution 352x288 (on XC2V1000-4 @ 40 MHz).IMAGE RESOLUTION IS LIMITED TO 352x288. It takes an RGB input (row-wise) and outputs to a memory the compressed JPEG image with headers.A testbench has been made that takes a bitmap image from your computer and writes a compressed JPEG file by simulating the code.In order to be able
jpeg hardware compressor
|
code | May 9, 2014 | VHDL | Stable | Unknown |
video controller License:DescriptionLCD Driver that we want to designed is a CMOS LCD driver capable of driving a multiplexed display of up to 128 segments ( 16 columns by 8 backplanes ). The number of backplanes being driven is programmable from one to eight. Data to be displayed is sent to the chip serially and stored in an internal RAM. An external resistor and capasitor control the frequency of the driving signals to the LCD. The displayed data may also be read serially from the on-chip RAM.Specifications- Operates on 22-bits (five bits first is address, the next bit is read and write flags, and 16 bits da
lcd driver
|
code | Dec 20, 2009 | Unknow | Beta | Unknown |
video controller Bone Compliant: NoLicense: LGPLDescriptionSimple memory mapped, character type dot matrix LCD controller for interfacing the Samsung's KS0073.The controller supports the 40SEG extension driver providing a 4-line x 20 character display. It uses a memory mapped 4x20x8 bit matrix, transformed in real-time to the display.It completely takes the responsibility for sending the appropriate sequences of commands to the KS0073. The higher layer needs only to take care of the content of the matrix. This makes its implementation as a microcontroller peripheral unit very comfortable.http://www-user.tu-che
memory mapped lcd controller ks0073
|
code | Sep 12, 2010 | VHDL | Stable | LGPL |
video controller liant: NoLicense:OverviewThe MiniGA is a small graphics adapter for microcontrollers. It outputs a video signal for TVs, VCRs and TFTs with video input. MiniGA features a SPI interface which makes interfacing to most microcontrollers easy. A cycle-shared-RAM interface coordinates read and write accesses to the RAM so the user needn't take care about data collisions which simplifies the usage. Moreover MiniGA generates all needed timings and outputs a digital video which is converted to analog by a 10Bit DAC externally.Features- PAL Encoder Core written 100% in VHDL- No Xilinx/Altera specific
miniga-high quality pal encoder
|
code | Dec 20, 2009 | VHDL | Beta | Unknown |
video controller esign done,FPGA provenWishBone Compliant: NoLicense: LGPLDescriptionThis VHDL macro is a Text Mode Monochrome Video Display Adapter for VGA monitors. It can be used as a peripheral for a soft-processor, external microcontroller or other non-programmable hardware. It's not much better than the original IBM MDA card appeared in 1981 ;-)Features- resolution is 80x40 characters, dot resolution is 640x480 pixels at 60Hz so the core needs a clock signal of 25MHz. I prefer 80x40 instead the classical 80x25, in my opinion, the latter is an annoyance.- monocrome, it hasn't "attribute" memory to store t
monochrome text-mode vga video display adapter
|
code | Jul 11, 2014 | VHDL | Stable | LGPL |
video controller al info:WishBone Compliant: NoLicense: GPLDescriptionHigh-definition programmable and configurable motion estimation processor for H.264, VC-1 and AVS video codecs.SummaryThe LiquidMotion LMx1 processor is a reconfigurable ASIP (Application Specific Instruction Set Processor) designed to execute user-defined block-matching motion estimation algorithms optimized for hybrid video codecs such as MPEG-2, MPEG-4, H.264 AVC and Microsoft VC-1. The core offers scalable performance dependent on the features of the chosen algorithm and the number and type of execution units implemented. The ability to
motion estimation processor
|
code | Jan 6, 2015 | VHDL | Stable | GPL |
video controller nWishBone Compliant: NoLicense:DescriptionThe design provides basic video function.Features- Configurable resolution up to 1600x1400- Configurable pixel width 16,32 bit per pixel- Configurable Burst Size and NPI width- Stride support- Direct memory access through Xilinx NPI channel- Support Spartan3x family, Virtex4, Virtex5- Demo design and bitstream available for EUS FS, ML403, ML405 and ML505Status- Resolutions: 640x480x32/16; 800x600x32/16; 1024x768x32/16; 1600x1200x16- Tested platforms Spartan3E, Virtex4, Virtex5- Design is available in VHDL - XPS coreTo do- Docs- Add virtual DMA engine-
npi graphics controller
|
code | Jul 20, 2009 | VHDL | Stable | Unknown |
video controller onal info:FPGA provenWishBone Compliant: NoLicense: GPLDescriptionThis is an OPB-compatible VGA character display for the Spartan 3E development board, which does not contain DACs.The core is very small, requiring only 3 BRAMs and 533 slices.All access is through write character commands, similar to an LCD display. 3-bit color is supported, as well as inverted characters.Current resolution is 640x480, 75x55 charactersFeatures- Ease of use- Includes write string function- Individual characters can also be written- Small footprintStatus- status1- status2
opb-compatible vga character display no dac
|
code | Oct 17, 2007 | Verilog | Stable | GPL |
video controller doneWishBone Compliant: NoLicense: LGPLConnecting to the world outsideThis part was completely redesigned due to variant output inpedances of different CPLD/FPGA and to reduce the moving pattern from older versions.The two transistors are used for impedance transformation so the output inpedance has not more much effectto the result. In the upper part the luminance signal is generated and in the lower part the chrominancesignal. The two 1,2KOhm resistors on fbh and fbl pin sets the chrominance output toa defined level. The chrominance signal is mixed with the luminace signal by an simple capa
pal/ntsc encoder
|
code | Dec 20, 2009 | VHDL | Stable | LGPL |
video controller tion doneWishBone Compliant: YesLicense: GPLDescriptionThe ROSETTA Configurable Dot Matrix Display Controller core provides a modular expandable interface for any dimension displays build from LEDs dot matrix structures. This core can be configured for synthesis and P&R with any number of DM LEDs slices. These slices can be arranged in any number to form linear or plane screens.In designs with a big number of slices, the number of pins required for the implementation device selected could collapse. In order to overcome this inconvenience, the output bus has a multiplexed structure, and the
rosetta configurable dot matrix display controller
|
code | Jun 12, 2006 | Other | Planning | GPL |
video controller o:FPGA provenWishBone Compliant: YesLicense: LGPLDescriptionThis core is a low to medium resolution bitmap display controller. It was engineered for use on theNexsys2 board, a Spartan3e FPGA board, but is readily adaptable to other environments. The core hasbeen upgraded for use on the Atlys FPGA board. The latest incarnation of the core is being developed on a Nexys4 board.Features- small size- supports high, mid and low resolution bitmap display- programmable display format (divide by 1,2, or 4).- programmable color depth (8,16, or 32 bpp).- 32 byte burst fetching- memory bandwidth considera
rtfbitmapcontroller
|
code | May 3, 2015 | Verilog | Alpha | LGPL |
video controller nfo:WishBone Compliant: NoLicense: LGPLDescriptionThis core provide hardware cursor / sprite capabilities. It supports alpha blending in the 32k color mode. The cursor characteristics are completely programmable. The core has been updated to reflect larger amounts of memory and resources available in newer devices.Features- parameterized number of sprites/cursors 1,2,4,6,8,14, or 32- 4kB sprite image cache buffers- each image cache is capable of holding multiple sprite images- cache may be accessed like a memory by the processor- an embedded DMA controller may also be used for sprite reload- p
rtfspritecontroller/hardware cursors
|
code | May 15, 2015 | Verilog | Alpha | LGPL |
video controller PGA provenWishBone Compliant: YesLicense: LGPLDescriptionThe latest incarnation of the text controller has a default resolution of 56x31 expecting a 1366x768 screen resolution. The size and number of characters displayed is easily programmable. The controller now uses externally supplied horizontal and vertical sync signals for a reference point. The controller detects the positive edge of the signals. The display memory is 32 bits wide of which 9 bits are used for each of foreground, background colors, and the character code. Character codes and attributes are stored together in the same memo
rtftextcontroller
|
code | Jun 27, 2014 | Verilog | Beta | LGPL |
video controller one,FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionDriver for Sharp LQ057Q3DC02 320x240 QVGA LCD. Driver accurate to datasheet specifications. Will also work for LQ057Q3DC12 (Pb-free version).Features- Fully parameterizable and easily adapted to larger LCD screen by simply changing counter register sizes and generic timing parameters.- All-digital interconnect. No digital to analog converter required. Simply attach the output ports of the top-level entity to the data connector on the LCD.- Includes specific files for the Xilinx Virtex-II Pro development board av
sharp lq057q3dc02 lcd controller
|
code | Apr 12, 2015 | VHDL | Stable | GPL |
video controller FPGA provenWishBone Compliant: NoLicense: GPLProgress- Display one repeat line (800 pixels) stored in ROM synthesized in FPGA.- Self-display word block (designed).- (Have problem on hardware integration) Preparing display a frame stored in SSRAM which is on Logic Module.- Parameter:- VBP/VFP (Vertical-Sync. back/front porch)- HBP/HFP (Horizontal-Sync. back/front porch)- PPL/LPP (Pixel Per Line/Line Per Panel)- I design the width of synchronization to be auto-calculated by the way of how it display.\:- Improve:- Efficiency of data transformation.- Support more kinds of display.- ..to be continu
tft lcd controller
|
code | Dec 20, 2009 | Verilog | Planning | GPL |
video controller FPGA proven,Specification doneWishBone Compliant: NoLicense: GPLDescriptionThis is an implementation of the Sinclair ULA chip, found in ZX Spectrum microcomputers. The project offers various implementations: both FPGA friendly (with separate input and output data buses), and CPLD ready, to be used as a replacement for the many chips that comprise the ULA found in some clones.This project is mostly based upon the work of Chris Smith. Chris designed a ZX Spectrum clon, the "Harlequin". A PCB has been developed by Don "Superfo", which uses discrete logic to implement the ULA, as Chris did. The CP
ula chip for zx spectrum
|
code | Jan 6, 2013 | Verilog | Beta | GPL |
video controller en,Design done,FPGA provenWishBone Compliant: YesLicense: GPLDescriptionThe OpenCores VGA/LCD Controller core is a WISHBONE revB.3 compliant embedded VGA core capable of driving CRT and LCD displays. It supports user programmable resolutions and video timings, which are limited only by the available WISHBONE bandwidth. Making it compatible with almost all available LCD and CRT displaysThe core supports a number of color modes, including 32bpp, 24bpp, 16bpp, 8bpp gray-scale, and 8bpp-pseudo color. The video memory is located outside the primary core, thus providing the most flexible memory solu
vga/lcd controller
|
code | Sep 21, 2013 | Verilog | Stable | GPL |
video controller ompliant: NoLicense:DescriptionThe 'Video Compression Systems Project' was started with the idea to provide readily available blocks for compression systems. Which, combined toghether, form a complete compression standard.Examples of popular standards are:- MPEG (MPEG-1, MPEG-2, MPEG-4) and H.264- H.310, H.320 etc. (video conferencing)- JPEG & MJPEG- etc.All aspects of a standard are covered. The links on the top of this page provide access to the blocks needed to build a complete system.Status- Finished cores:- - 8x8 fully pipelined parallel DCT. Provides a DCT result every clock cycle.-
video compression systems
|
code | Aug 27, 2008 | Unknow | Stable | Unknown |
video controller gn done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe core supplies post-processing for a video signal.It reduces the color width while dithering the image to keep the impression of more colors than really exist.This reduces banding effects and enhances the quality for the viewer.The used method is "Sierra Lite".The core is configurable (at compile/synthesis time) in:- resolution- input color width- output color widthIt uses very few ressources.Common Full HD Dithering (1920*1080 @ 60hz @ 6 bit from 8 bit source)used with many LCD Displays possible on cyclone
video dithering
|
code | Jun 29, 2013 | VHDL | Stable | LGPL |
video controller hBone Compliant: NoLicense:DescriptionThis kit is meant for people which want to start developing on fpgas but don't want to spent too much money on tooling and jtag debuggers.this kit will contain a small fpga (altera Cyclone II) and an AVR (atmega64) microprocessor with dataflash for programming the fpga through the serial or USB connection.as peripherals for the fpga there are a video encoder and decoder on board, to connect the kit between a DVD player and a TV through composite I/O.simple video filters can be tested on the kit, and as the AVR can use the fpga as external memory, it's also
video starter kit
|
code | Mar 11, 2015 | Other | Beta | Unknown |
video controller fo:Design done,FPGA proven,Specification doneWishBone Compliant: NoLicense: LGPLDescriptionThe Video Stream Scaler scales streaming video up or down in resolution. Bilinear and nearest neighbor resizemodes are supported.This core provides run-time adjustment of input and output resolution, scaling factors, and scaletype. Compile time adjustment of maximum resolutions and data width.Resource usage and speedFPGA: Altera Cyclone III 3C120Configuration: 10 bits per pixel, 1 color channel, RFIFO size of 3Logic Cells: 571Registers: 237M9ks: 99x9 multipliers: 318x18 multipliers: 8Greater than 108MHz
video stream scaler
|
code | Aug 7, 2012 | Verilog | Stable | LGPL |
video controller YesLicense:DescriptionWishbone Monitor Controller is a set of freely available VHDL cores. It contains a central building block containing the basic functionality. It can then be sorrounded by various helper functions to add functionality. The central core comprises of a sync generator, a pixel data generator, a memory interface and a CPU interface. It is specificly designed for slow 8-bit systems (although CPU interface size can be set) with no high needs about a display. It is also designed to be simple and small (cheap). The target is the whole design to be well fit in an Altera ACEX 1k30
wishbone monitor controller
|
code | Oct 15, 2001 | Unknow | Beta | Unknown |
video controller Bone Compliant: NoLicense: BSDDescriptionThis core is a simple and small VGA controller.It drives vga monitors with an 800x600 resolutionand 72Hz vertical refresh rate (50MHz pixel clock)It displays chars on the screen (each char is 8x16 pixels)It has a customizable charset (you can use a simple text editor in order to "visually" customize it)It can display a color "waveform"It can display a color grid and "cross cursor"Click the image in order to see a full size screenshot:YAVGA ported toPapilioboard byGadget FactoryVGA Connector Example">
yet another vga
|
code | Apr 14, 2012 | VHDL | Alpha | BSD |
Updated on June XXXX